仪器网(yiqi.com)欢迎您!

| 注册 登录
网站首页-资讯-专题- 微头条-话题-产品- 品牌库-搜索-供应商- 展会-招标-采购- 社区-知识-技术-资料库-方案-直播- 视频

问答社区

请问谁可以给我一份关于 数字频率计的设计的multisim仿真文件为我吗?谢谢

绝缘哭了 2015-01-11 07:12:12 246  浏览
  •  

参与评论

全部评论(1条)

获取验证码
我已经阅读并接受《仪器网服务协议》

热门问答

请问谁可以给我一份关于 数字频率计的设计的multisim仿真文件为我吗?谢谢
 
2015-01-11 07:12:12 246 1
关于数字频率计的Multisim仿真文件,可以给我一份吗?QQ+707609774
课程设计快结束了!求一个multisim文件啊!仿真不出来!求个文件
2018-12-04 03:25:58 275 0
请问能不能给我一份由关于数字频率计的multisim的文件?谢谢
如我可以的话,qq35662566
2018-11-24 23:07:19 381 0
紧急求 数字频率计在Multisim中的仿真文件 973196047
 
2013-06-26 07:26:04 199 1
关于multisim仿真振荡器的问题
您好,麻烦您帮我看看这个电路图,我在Multisim上仿真就是出不来波形,到底是怎么回事?找了一晚上就是找不到问题所在,麻烦您了... 您好,麻烦您 帮我看看这个电路图,我在Multisim上仿真就是出不来波形,到底是怎么回事?找了一晚上就是找不到问题所在,麻烦您了 展开
2016-05-19 13:01:35 505 2
简易数字频率计的设计 主要求Multisim的仿真电路,Z好是原件。
1、能够测量正弦波、三角波、锯齿波、矩形波等周期性信号的频率; 2、能直接用十进制数字显示测得的频率; 3、频率测量范围:1HZ—10KHZ且量程能自动切换; 4、输入信号幅度范围为0.5—5V,要求仪器自动适应 5、测量时间:t≼1.5s 7、按照以上技术要... 1、能够测量正弦波、三角波、锯齿波、矩形波等周期性信号的频率; 2、能直接用十进制数字显示测得的频率; 3、频率测量范围:1HZ—10KHZ且量程能自动切换; 4、输入信号幅度范围为0.5—5V,要求仪器自动适应 5、测量时间:t≼1.5s 7、按照以上技术要求设计电路,绘制电路图,对设计的电路用Multisim或OrCAD/PspiceAD9.2进行仿真,用万用板焊接元器件,制作电路,完成调试、测试,撰写设计报告。 8、用74LS48D,共阴极七段数码管,74LS273N,74LS90D,SN74123N,555时基电路构成仿真 展开
2018-11-25 23:50:33 361 0
关于数字频率计的仿真文件Z好proteus的 测量0-999hz 不用单片机
参考元器件:74HC160,74HC00/32,CD4511, NE5532/TL082,CD4060 和 32768Hz 晶振给个直接仿真就能过的吧,大神。 直接我q邮: 347397173
2015-10-27 16:15:17 320 1
关于数字频率计的仿真文件Z好proteus的 测量0-2khz 不用单片机
要直接的proteus文件和源程序
2017-06-14 03:29:49 281 1
请问谁可以给我提供一个红外吸收光谱检测原料的方法
我需要了解红外吸收光谱检测某药物的方法,Z好有具体的步骤和结果,这样可以帮助我了解这个方法的细节,谢谢了! 对不起我的分数很少只能给你们5分,别嫌少哦!
2018-12-10 11:03:19 311 0
基于51单片机的数字频率计设计(proteus仿真)
要求:实现外部方波,三角波,正弦波,锯齿波等常用波形的频率测量 (硬件设计,软件设计)
2012-06-03 00:12:36 413 3
谁能给我发一个multisim的数字频率计文件啊 急急急
要能仿真的啊
2014-12-25 15:57:02 213 1
数字频率计设计(请附上电路图)
要求: (1 )频率为10HZ--1MHZ (2 )输入周期信号幅值不小于200mV的Vpp ( 3)测量显示精度高于10的-5次 (4) 采用外部5V直流电源供 可以用51单片机设计,也可以不用,要是用51的话,程序不要汇编语言的 请发到603128508@qq.com 谢谢了 ... 要求: (1 )频率为10HZ--1MHZ (2 )输入周期信号幅值不小于200mV的Vpp ( 3)测量显示精度高于10的-5次 (4) 采用外部5V直流电源供 可以用51单片机设计,也可以不用,要是用51的话,程序不要汇编语言的 请发到603128508@qq.com 谢谢了 满意再加分 展开
2011-07-28 14:06:10 196 1
数字频率计quartus仿真
本人是计算机专业学生,需要用quartus进行数字频率计仿真,要求如下: 本实验要求设计并实现简易的数字频率计电路,要求可以实现以下功能: (1) 频率计的频率测量范围:Z低要求0~9999Hz。 (2) 闸门时间为1s,测量结果以十进制数字显示。 (3) 设计一位... 本人是计算机专业学生,需要用quartus进行数字频率计仿真,要求如下: 本实验要求设计并实现简易的数字频率计电路,要求可以实现以下功能: (1) 频率计的频率测量范围:Z低要求0~9999Hz。 (2) 闸门时间为1s,测量结果以十进制数字显示。 (3) 设计一位复位键,对频率计进行清零复位。 (4) 利用实验箱上的四个七段数码管显示频率计结果,要求显示结果稳定,无闪烁。 我对vhdl不是很熟悉,也不太会用quartus 我的Q是1072733412,希望您能给我提供帮助 展开
2018-11-19 10:50:41 417 0
数字频率计的设计
二、数字频率计的设计 任务书 1、 简要说明: 根据频率计的测频原理,按照测频量程需要,选择合适的时基信号即闸门时间,对输入被测信号进行计数,实现测频的目的。 2、 任务和要求: 设计一个3位十进制数字显示的数字式频率计,其频率测量范围在1MHz内。... 二、数字频率计的设计 任务书 1、 简要说明: 根据频率计的测频原理,按照测频量程需要,选择合适的时基信号即闸门时间,对输入被测信号进行计数,实现测频的目的。 2、 任务和要求: 设计一个3位十进制数字显示的数字式频率计,其频率测量范围在1MHz内。量程分为10kHz、100kHz和1MHz三挡,即Z大读数分别为9.99 kHz、99.9 kHz和999 kHz。这里要求量程能够自动转换,具体要求如下: ① 当读数大于999时,频率计处于超量程状态,下一次测量时,量程自动增大1档; ② 当读数小于099时,频率计处于欠量程状态,下一次测量时,量程自动减小1档; ③ 当超过频率测量范围时,显示器溢出; ④ 采用记忆显示方式即计数过程中不显示数据,待计数过程结束后,显示测频结果,并将此显示结果保持到下次计数结束、显示时间不短于1s; ⑤ 小数点位置随量程变化自动移位; ⑥ 选做:增加测周期功能(量程为1ms、10ms、100ms三档,即Z大读书为9.99ms,99.9ms和999ms) 用MAX-PLUSII或能实现的程序或者QUARTUS实现,好了追加100分,C语言的不行啊!用verilog HDL语言写。尽量详细些,Z好是以报告或论文的形式。程序要有注释 展开
2008-09-04 21:49:36 376 3
谁能给我提供一份本特利3500的组态软件 ?谢谢
请上传到知道,谢谢请发送到wqhhjjy@163.com... 请上传到知道,谢谢 请发送到wqhhjjy @ 163.com 展开
2018-11-17 14:32:56 224 0
可以给我一份8753D矢量网络分析仪的操作说明书吗?中文版的,急需!谢谢!
可以给我一份8753D矢量网络分析仪的操作说明书吗?中文版的,急需!谢谢!397971252... 可以给我一份8753D矢量网络分析仪的操作说明书吗?中文版的,急需!谢谢!397971252 展开
2014-03-09 02:31:41 381 1
求数字频率计的单片机课程设计,要有程序,有仿真图,就是DSN文件
 
2016-07-29 18:27:18 354 1
单片机控制的信号发生器硬件设计,可以教教我吗
有显示,频率可调,幅度可加减,用51,DAC8032... 有显示,频率可调,幅度可加减,用51,DAC8032 展开
2014-04-14 02:17:13 313 3
用multisim做带通滤波器的仿真
要求是:保证300—3400Hz的语音信号不失真的带通滤波器 我按照模电中的带通滤波器进行设计: ①图中的V1可以作为语音信号吗?V1为1v,1khz,这是默认的,我不知如何设置? 上图的仿真图像为 ②图像的Z高点也就2dB,Z低为-36db左右,频率从300hz到300Mhz,... 要求是:保证300—3400Hz的语音信号不失真的带通滤波器 我按照模电中的带通滤波器进行设计: ①图中的V1可以作为语音信号吗?V1为1v,1khz,这是默认的,我不知如何设置? 上图的仿真图像为 ②图像的Z高点也就2dB,Z低为-36db左右,频率从300hz到300Mhz,感觉没有滤波。 希望精通的可以不吝赐教!谢谢! 展开
2015-04-15 23:00:50 467 2
急求:关于数电简易数字频率计的设计
急求: 要求: ⑴.设计一个简易数字频率计,用于测量数字信号的频率并显示,用一个开关控制频率计的起动和停止,并可对频率计置数。 ⑵.测频范围为0.1Hz到9999Hz。 ⑶.测量所需时基时间可调,分1秒和10秒两档。 ⑷.能连续循环测量显示,若用1秒档时要求6秒完... 急求: 要求: ⑴.设计一个简易数字频率计,用于测量数字信号的频率并显示,用一个开关控制频率计的起动和停止,并可对频率计置数。 ⑵.测频范围为0.1Hz到9999Hz。 ⑶.测量所需时基时间可调,分1秒和10秒两档。 ⑷.能连续循环测量显示,若用1秒档时要求6秒完成一个循环,其中1秒计数测量;4秒显示结果;1秒清零。然后依次循环。10秒档则60秒完成一个循环。 常见的元器件选择: •74160(74161),10进制(16进制)计数器•555,•7474 双D触发器•7400(2输入端,4与非门),•7404(6非门),•7408(2输入,4与门)•7420(4输入,2与非门), •7432(2输入端,4或门),•7421(4输入端,双与门),•7411(3输入端,3与门),•7410(3输入端,3与非门),•7402(2输入端,4或非门),•7427(3输入端,3或非门), 展开
2014-06-07 23:28:34 499 2

9月突出贡献榜

推荐主页

最新话题