仪器网(yiqi.com)欢迎您!

| 注册 登录
网站首页-资讯-专题- 微头条-话题-产品- 品牌库-搜索-供应商- 展会-招标-采购- 社区-知识-技术-资料库-方案-直播- 视频

问答社区

求数电课程设计 - 简易数字频率计电路设计。急急急

王松民商法 2015-12-10 10:05:04 355  浏览
  • 求数电课程设计 - 简易数字频率计电路设计。 不用单片机纯数电 1)测量范围:1HZ—999HZ,闸门时间10s,1s;0.1s;10ms 2)显示方式:四位十进制数。 请发到896116038@qq.com 谢谢 一,word设计报告。二,multisim工程文件。麻烦用RAR打包 谢了。

参与评论

全部评论(2条)

  • shunhua804 2015-12-11 00:00:00
    你这才给 10分,等吧

    赞(17)

    回复(0)

    评论

  • WonDinZN 2015-12-11 00:00:00
    来吧我来原创给你。 求数电课程设计 - 简易数字频率计电路设计

    赞(16)

    回复(0)

    评论

获取验证码
我已经阅读并接受《仪器网服务协议》

热门问答

求数电课程设计 - 简易数字频率计电路设计。急急急
求数电课程设计 - 简易数字频率计电路设计。 不用单片机纯数电 1)测量范围:1HZ—999HZ,闸门时间10s,1s;0.1s;10ms 2)显示方式:四位十进制数。 请发到896116038@qq.com 谢谢 一,word设计报告。二,multisim工程文件。麻烦用RAR打包 谢了。
2015-12-10 10:05:04 355 2
数电课程设计,简易数字频率计设计
数电课程设计,简易数字频率计设计图片是老师给的提示,
2018-12-03 10:23:57 375 0
一种简易数字频率计电路设计
1. 一种简易数字频率计电路设计 设计要求:设计并制作一种用于频率测量的数字频率计电路,技术指标如下: (1)频率测量范围:10~10kHZ,显示位数:4位 (2)输入电压幅度:300mV~3V,输入信号波形:任意周期信号 (3)手动清零 (4)测量误差Z大为1 ... 1. 一种简易数字频率计电路设计 设计要求:设计并制作一种用于频率测量的数字频率计电路,技术指标如下: (1)频率测量范围:10~10kHZ,显示位数:4位 (2)输入电压幅度:300mV~3V,输入信号波形:任意周期信号 (3)手动清零 (4)测量误差Z大为1 (3)电源:220V/50HZ 求设计电路原理图 展开
2018-11-27 10:32:10 319 0
长春理工单片机课程设计:简易信号发生器设计,急急急
长春理工单片机课程设计:简易信号发生器设计,哪位学哥学姐知道就帮帮忙,谢谢学长,急急急要的主要是程序,谢谢... 长春理工单片机课程设计:简易信号发生器设计,哪位学哥学姐知道就帮帮忙,谢谢学长,急急急 要的主要是程序,谢谢 展开
2010-07-11 09:22:44 389 1
急求:关于数电简易数字频率计的设计
急求: 要求: ⑴.设计一个简易数字频率计,用于测量数字信号的频率并显示,用一个开关控制频率计的起动和停止,并可对频率计置数。 ⑵.测频范围为0.1Hz到9999Hz。 ⑶.测量所需时基时间可调,分1秒和10秒两档。 ⑷.能连续循环测量显示,若用1秒档时要求6秒完... 急求: 要求: ⑴.设计一个简易数字频率计,用于测量数字信号的频率并显示,用一个开关控制频率计的起动和停止,并可对频率计置数。 ⑵.测频范围为0.1Hz到9999Hz。 ⑶.测量所需时基时间可调,分1秒和10秒两档。 ⑷.能连续循环测量显示,若用1秒档时要求6秒完成一个循环,其中1秒计数测量;4秒显示结果;1秒清零。然后依次循环。10秒档则60秒完成一个循环。 常见的元器件选择: •74160(74161),10进制(16进制)计数器•555,•7474 双D触发器•7400(2输入端,4与非门),•7404(6非门),•7408(2输入,4与门)•7420(4输入,2与非门), •7432(2输入端,4或门),•7421(4输入端,双与门),•7411(3输入端,3与门),•7410(3输入端,3与非门),•7402(2输入端,4或非门),•7427(3输入端,3或非门), 展开
2014-06-07 23:28:34 499 2
简易的数字频率计电路课程设计 求完整实验报告
简易的数字频率计电路,要求可以实现以下功能: 1、 频率计的频率测量范围:0~9999hHz。 2、 闸门时间为1s,测量结果以十进制数字显示。 3、 每次测量通过相应的按键启动,测量结束显示相应的频率值直到下次测量。 二、具体要完成的任务:... 简易的数字频率计电路,要求可以实现以下功能: 1、 频率计的频率测量范围:0~9999hHz。 2、 闸门时间为1s,测量结果以十进制数字显示。 3、 每次测量通过相应的按键启动,测量结束显示相应的频率值直到下次测量。 二、具体要完成的任务: 1、 设计频率计的电路原理图,画出相应的原理图。 2、 选择合适的器件实现原理图,画出相应的连线图,给出相应的器件清单 3、 实现相应的电路连接,并测试其功能 4、 撰写课程的设计报告 三、设计引导 1、显示电路的设计 设计一个4位的LED显示电路:采用4位共阴极LED显示器,采用74LS48作为显示译码器件,显示电路接收从计数器输出的BCD码 2、计数电路设计 应用74LS90设计一个4位十进制计数器,对被测的频率信号进行计数,计数的输出信号可以直接作为74LS48的译码输入信号。 4、时基产生电路设计 时钟振荡信号的产生电路 分频电路的设计 5、控制电路的设计 控制电路要求具有如下功能:每次按下测量键,则进行一次测量,测量的结果显示在LED数码管上,直到下次重新测量数码管上显示的内容保持,再次进行测量,测量结束显示新的测量结果,测量的闸门时间控制为1S,1S内的计数值即为相应的被测信号的频率值。 6、发挥部分 在电路上设置档位控制功能,增加×10、×100两个档位,增加计数器的测量范围。增加重复测量功能。 展开
2016-10-01 02:41:29 334 1
简易频率计电路设计
内容及要求 检测12M以下的频率并将结果显示在数码管上。 (1)采用系统的Z高输入时钟48M; (2)8个数码管显示频率值,Z低两位小数位,没有小数点; (3)Z高检测频率受限,自行计算; (4)复位后输出为0; (5)完成全部流程:设计规范文档、模块设... 内容及要求 检测12M以下的频率并将结果显示在数码管上。 (1)采用系统的Z高输入时钟48M; (2)8个数码管显示频率值,Z低两位小数位,没有小数点; (3)Z高检测频率受限,自行计算; (4)复位后输出为0; (5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。 展开
2012-11-25 03:35:20 381 2
武汉理工大学电涡流传感器的电路设计的课程设计
 
2011-07-01 15:15:34 395 1
简易数字频率计
大神求帮做一个简易数字频率计 1. 被测信号的频率范围100Hz~100 kHz 2. 输入信号为正弦信号或方波信号 3. 四位数码管显示所测频率,并用发光二极管表示单位 4. 具有超量程报警功能 1210811846@qq.com
2017-07-01 07:06:15 407 1
跪求数字频率计课程设计
设计基本要求: (1)能够测试外部输入频率10~2000HZ范围的方波信号 (2)将测试的数据在显示终端上进行显示 (3)可以设定检测频率值,当输入的频率符合设定值可以出现声光提示 (4)要求精度在0.2HZ
2018-11-24 04:44:29 346 0
求数字频率计课程设计
此为数字电子课程设计 要求: 1、测量范围:0~999Hz和1~100kHz; 2、输入信号电压:0.5~5V; 3、输入信号波形:正弦波、方波; 4、脉冲周期测量范围100μs~1s; 5、具有超量程声、光报警功能; 6、测量误差小于±10%; 可发答案至xdyl@qq.com。将... 此为数字电子课程设计 要求: 1、测量范围:0~999Hz和1~100kHz; 2、输入信号电压:0.5~5V; 3、输入信号波形:正弦波、方波; 4、脉冲周期测量范围100μs~1s; 5、具有超量程声、光报警功能; 6、测量误差小于±10%; 可发答案至xdyl@qq.com。将感激不尽,追加悬赏积分以重谢。 展开
2009-06-05 06:29:37 342 1
单片机数字频率计课程设计
题目三 数字频率测量仪设计 一、设计内容: 采用MCS-51系列单片机进行数字频率计设计并通过实验仪验证通过。 二、设计要求: 1.设计单片机Z小系统电路(包括复位、晶振等电路) 2.设计键盘/显示器接口电路。 3.通过软件编程实现数字频率计的... 题目三 数字频率测量仪设计 一、设计内容: 采用MCS-51系列单片机进行数字频率计设计并通过实验仪验证通过。 二、设计要求: 1.设计单片机Z小系统电路(包括复位、晶振等电路) 2.设计键盘/显示器接口电路。 3.通过软件编程实现数字频率计的测量和显示(显示使用六位数码显示器) 4.通过键盘可控制频率计的闸门时间。 单片机课程设计要求: 1.根据设计题目要求进行系统总体设计 2.设计系统总体电路图,阐述系统中各个单元电路的工作原理 3.系统的硬件设计、电路中元器件的选择,参数确定 4.系统的软件设计、主程序流程图和主要子程序流程图 5.给出系统设计硬件元器件清单 6.列出参考资料 展开
2009-01-02 01:33:11 399 1
单片机课程设计数字频率计
设计一个以单片机为核心的频率测量装置。使用AT89C51单片机的定时器/计数器的定时和计数功能,外部扩展6位LED数码管,要求累计每秒进入单片机的外部脉冲个数,用LED数码管显示出来。测量频率有测频法和测周法两种。
2016-06-15 10:30:57 351 4
数字频率计数字电子技术课程设计
设计的技术指标与要求 (1)基本功能 ①量程:4位十进制数 diyi档:Z小量程档,Z大读数是9.999KHz,闸门信号的采样时间为1s。 第二档:Z大读数为99.99KHz,闸门信号的采样时间为0.1s。 第三档:Z大读数为999.9KHz,闸门信号的采样时间为10ms。 第四... 设计的技术指标与要求 (1)基本功能 ①量程:4位十进制数 diyi档:Z小量程档,Z大读数是9.999KHz,闸门信号的采样时间为1s。 第二档:Z大读数为99.99KHz,闸门信号的采样时间为0.1s。 第三档:Z大读数为999.9KHz,闸门信号的采样时间为10ms。 第四档:Z大读数为9999KHz,闸门信号的采样时间为1ms。 ②用七段LED数码管显示读数,做到显示稳定、不跳变; ③被测信号为方波信号。 (2)设计成果要求 ①利用集成芯片、分立元件制作线路板,实现有关电路功能; ②设计报告一份。 (3)主要器件 CC4518、CC4013、CC40106、CC4011、CC4511、七段LED数码管 展开
2013-01-07 22:33:14 435 2
求简易数字频率计电路图。数电帝请进。
数电大神请进啊。电路图用multisim设计,请把制作好的文件发我,yunbing_xu@163.com 保底25分。Z好能附加一些说明。 简易数字频率计要求: 1.测量脉冲信号幅度为5v; 2.频率范围为1Hz~9999Hz; 3.测量误差为1Hz; 4.可手动清零、手动测量; 5.其他功能... 数电大神请进啊。电路图用multisim设计,请把制作好的文件发我,yunbing_xu@163.com 保底25分。Z好能附加一些说明。 简易数字频率计要求: 1.测量脉冲信号幅度为5v; 2.频率范围为1Hz~9999Hz; 3.测量误差为1Hz; 4.可手动清零、手动测量; 5.其他功能、性能的改进; 6.已知振荡器频率为10KHz。 设计思路: 展开
2010-05-18 11:37:23 266 1
简易数字频率计的设计
3.1被测信号波形:正弦波、三角波和矩形波。 3.2 测量频率范围:分三档: 1Hz~999Hz 0.01kHz~9.99kHz 0.1kHz~99.9kHz 3.3 测量周期范围:1ms~1s。 3.4 测量脉宽范围:1ms~1s。 3.5 测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误差)。 3.6当... 3.1被测信号波形:正弦波、三角波和矩形波。 3.2 测量频率范围:分三档: 1Hz~999Hz 0.01kHz~9.99kHz 0.1kHz~99.9kHz 3.3 测量周期范围:1ms~1s。 3.4 测量脉宽范围:1ms~1s。 3.5 测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误差)。 3.6当被测信号的频率超出测量范围时,报警. 4.扩展指标 要求测量频率值时,1Hz~99.9kHz的精度均为+1。 5.设计条件 5.1 电源条件:+5V。 型号 名称及功能 数量 NE555 定时器 1片 74151 8选1数据选择器 2片 74153 双4选1数据选择器 2片 7404 六反向器 1片 4518 十进制同步加/减计数器 2片 74132 四2输入与非门(有施密特触发器) 1片 74160 十进制同步计数器 3片 C392 数码管 3片 4017 十进制计数器/脉冲分配器 1片 4511 4线-七段所存译码器/驱动器 3片 TL084 1片 10K电位器 1片 电阻电容 拨盘开关 1个 门电路、阻容件、发光二极管和转换开关等原件自定。 求教高手! 展开
2011-08-31 14:12:30 456 5
求数字频率计课程设计(急用!!!谢谢~~~~)
设计要求: 1、基本部分 (1) 被测信号的频率范围为1Hz~999KHz,分为4个档位:Hz,10Hz,100Hz,KHz。 (2) 具有自检功能,即用仪器内部的标准脉冲校准测量精度。 (3) 用3为数码管显示测量数据,测量误差小于10%。 (4) 用3个发光二极管表示单位,分别对应3个... 设计要求: 1、基本部分 (1) 被测信号的频率范围为1Hz~999KHz,分为4个档位:Hz,10Hz,100Hz,KHz。 (2) 具有自检功能,即用仪器内部的标准脉冲校准测量精度。 (3) 用3为数码管显示测量数据,测量误差小于10%。 (4) 用3个发光二极管表示单位,分别对应3个位。 (5) 具有自动换挡功能,即超量程能换,欠量程换低档。 展开
2018-11-19 12:40:10 360 0
谁能给我发一个multisim的数字频率计文件啊 急急急
要能仿真的啊
2014-12-25 15:57:02 213 1
高分求简易数字频率计设计
要求设计一个简易的数字频率计,其信号是给定的比较稳定的脉冲信号。 设计内容: 1、测量信号:方波 、正弦波、三角波; 2、测量频率范围: 1Hz~9999Hz; 3、显示方式:4位十进制数显示; 4、时基电路由 由555构成的多谐振荡器产生(当标准时间... 要求设计一个简易的数字频率计,其信号是给定的比较稳定的脉冲信号。 设计内容: 1、测量信号:方波 、正弦波、三角波; 2、测量频率范围: 1Hz~9999Hz; 3、显示方式:4位十进制数显示; 4、时基电路由 由555构成的多谐振荡器产生(当标准时间的精度要求较高时,应通过晶体振荡器分频获得); 5、当被测信号的频率超出测量范围时,报警。 设计报告书写格式: 1、选题介绍和设计系统实现的功能; 2、系统设计结构框图及原理; 3、采用芯片简介; 4、设计的完整电路以及仿真结果; 5、Protel绘制的电路原理图; 6、制作的PCB; 7、课程设计过程心得体会(负责了哪些内容、学到了什么、遇到的难题及解决方法等)。 电子课程设计过程: 系统设计→在Multisim2001下仿真→应用Protel 99SE绘制电路原理图→制作PCB→撰写设计报告 仿真软件: Multisim 2001,Protel 99SE。 展开
2009-01-03 06:22:59 369 3
简易数字频率计 verilog语言设计
要求:1、设计一个数字频率计,闸门时间为1秒,Z高可测频率为100Mhz. 2、频率由8位数码管显示输出。
2014-06-30 06:21:07 468 1

9月突出贡献榜

推荐主页

最新话题