仪器网(yiqi.com)欢迎您!

| 注册 登录
网站首页-资讯-专题- 微头条-话题-产品- 品牌库-搜索-供应商- 展会-招标-采购- 社区-知识-技术-资料库-方案-直播- 视频

问答社区

有关正弦信号发生器的毕业论文

adv52jhgfdf5 2009-03-03 21:08:34 451  浏览
  • 快毕业了,论文是Z头疼的,请各路大侠出手救救阿,不胜感激!!!!... 快毕业了,论文是Z头疼的,请各路大侠出手救救阿,不胜感激!!!! 展开

参与评论

全部评论(1条)

  • kmwk0228 2009-03-04 00:00:00
      基于EDA的信号发生器与数字滤波器设计   班级: 姓名: 学号:   摘 要:使用直接驱动的直线电机,能把控制对象和电机做成一体化结构,在精度、快速性、耐久性等方面具有明显的优势。用DSP作为控制器对纺织机械电子横移系统的电子凸轮机构进行实用设计,采用电流环、速度环的双闭环控制电极位置和速度,用先进的SVPWM控制算法对参数进行反复优化,使伺服系统达到更好的效果和更高的性价比。   关键词:电子凸轮;DSP控制;直线电机;PWM   0 引言   改进纺织机械电子横移系统的直线进给控制可采用电子凸轮系统,而通常直线运动是由交流旋转电机和传动带、齿条及齿轮机构组合来完成的。使用直接驱动的直线电机,能把控制对象和电机做成一体化结构,这与普通的旋转电机相比,在精度、快速性、耐久性等方面具有明显的优势。直线伺服电机是将输入信号电压转变为动子的位移或速度的输出,动子的行程方向和速度的大小随信号电压的方向和大小的变化而变化,并能带动一定大小的负载[1]。永磁同步直线电机的速度与PWM的频率始终保持准确的同步关系,控制PWM的频率就能控制电机的速度。选用DSP控制能使伺服系统达到更好的效果和更高的性价比,对电子凸轮的进给伺服系统进行研究与设计具有很好的实用价值。   1 系统结构设计   系统结构设计以DSP为核心其框图如图1所示。   图1 系统结构框图   Fig.1 Architecture chart of system   以DSP控制为核心构成三相同步直线电机控制系统。采用双闭环空间矢量控制达到伺服系统高精度、高速度、高响应的要求[2]。直线电机电枢电流通过霍尔电流传感器检测,经过电流反馈处理电路后,送入DSP的ADC转换口;利用光栅尺输出两路相位相差90°的正交信号到QEP,通过对两路信号的上升沿和下降沿检测生成四倍频信号,从四倍频信号的频率得到直线电机的速度。速度给定值与速度反馈值的偏差作为数字速度控制器的输入,经过运算处理后得到电流给定电压,再与电流反馈产生的反馈电压作偏差,得到差值作为数字电流控制器的输入,经过运算处理后得到控制电压。由软件来生成六路带死区的SPWM信号,经过光电隔离整形电路,分别加到功放前置驱动芯片的高低输入端。然后驱动桥式逆变电路中三组IGBT管,产生有规律的单极性电压,加在三相直线电动机线圈上,通过调节PWM占空比,从而控制直线电机的位移与速度。   2 控制系统的硬件实现   2.1 电机供电电路实现   直线同步电动机采用哈尔滨泰富电气有限公司的XY1809B-4.5扁平型直线电机。电机供电采用交-直-交电压型PWM逆变器,将三相交流(380V,50Hz)经整流与逆变后供给直线电机。整流器采用集成的三相全波二极管整流桥模块,逆变器所用的电子开关采用全控型电力电子器件。其整流逆变电路如图2所示。   图2 三相整流桥式逆变电路   Fig.2 bridge inverter circuit of three-phase rectifier   2.2 电机位置检测实现   系统使用直线光栅传感器进行电机位置检测,采用德国JENA公司生产的JENA LIE52PLXFDO 型光栅尺,其测量精度为1μm,速度为4.8m/s,直线电机的同步速度为4.5m/s。光栅位置检测装置由光源、两块光栅(长光栅、短光栅)和光敏元件等组成,它是通过将长光栅和短光栅之间的位移放大为莫尔条纹的移动来进行检测的。将长光栅安装在直线电动机的次级上作为标尺光栅,短光栅装在直线电机的初级作为指示光栅,两块光栅互相平行并保持一定的间隙(如0.05mm或0.1mm等),而两块光栅的刻线密度相同。   如果将指示光栅在其自身的平面内转过一个很小的角度θ,这样两光栅的刻线机交,则在相交处出现黑色条纹,称为莫尔条纹[3]。由于两块光栅的刻线密度相等,即栅距W相等,而产生的莫尔条纹的方向和光栅刻线方向大致垂直,所以当θ很小时,其条纹间距B和光栅栅距W及2条光栅刻线夹角关系为:   (1)   当光栅相对移动时,莫尔条纹将沿着刻线方向移动。光栅移动一个栅距,莫尔条纹也移动一个间距B,同时,在指示光栅上的光敏元件接收到一次光脉冲的照射,并相应输出1个电脉冲。通过计数电脉冲的数目,就可以测量标尺光栅的位移x,即:   (2)   式中 i—— 脉冲个数,因此检测实际上就是对光栅输出的脉冲个数进行计数。   TMS320LF2407A有两个事件管理器模块,每个事件管理器模块都有一个正交编码脉冲(Quadrature Encoded Pulses,QEP)电路[4]。该电路被使能后,可以对引脚CAP1/QEP1和CAP2/QEP2(对于EVA模块)或CAP4/QEP3和CAP5/QEP4(对于EVB模块)上输入的正交编码脉冲信号进行译码和计数。正交编码脉冲电路用于连接光栅尺输出的正交编码脉冲信号,实现对直线电动机的位移快速可靠地进行检测。   其位移信号检测电路如图3所示。   图3 直线位移检测电路   Fig.3 displacement detection circuit of linear   2.3 电流检测实现   采用维博电子有限责任公司的WBI414电流传感器作为电流检测装置,由于三相绕组采用的是星形连接,中点悬空,也就是说,电流的3个变量不完全独立,只要知道其中两个,设为Ia和Ib,另一个变量Ic就可以算出:   (3)   因而实现电动机相电流的精确检测,只需两路检测电路,将Ia和Ib的电流值经转换后分别送往DSP的ADCIN0和ADCIN1,其绕组相电流检测电路如图4所示。   图4绕组相电流检测电路   Fig.4 current detection circuit of winding phase   3 控制系统的软件实现   在软件上系统采用了交流电动机常用的空间矢量控制算法,利用DSP的高速数字处理能力产生SVPWM波形,包含系统主程序、相电流检测模块、CLARKE变换模块、电流环的PI控制模块、速度环的PI控制模块、PARK变换、PARK逆变换、光栅尺脉冲计数模块、旋转角度正弦函数表、空间矢量SPWM波的发生模块。   系统首先对DSP控制系统进行初始化工作;然后设置允许中断INT1、INT2和INT3,其中INT1只在PDPINT有效时被激活,INT3响应光栅传感器的零标记脉冲,INT2在Timer1计数溢出时响应,执行系统的进给控制模块;此外还要进行一些运行参数和控制循环的标记的设置;Z后就进入后台等待状态,随时响应各中断,运行中断服务程序。   DSP控制器中的全比较单元将负责产生控制脉冲信号,并送到电动机驱动模块上。以10KHz的频率产生对称SPWM波,以TIMER1作为时基,采样时间T设为100μs。用到两个中断:一个为T1的下溢中断,另一个为CAP/QEP中断。电流采样频率为10kHz,速度采频率为1kHz,DSP外围设备为Timer1、Timer2、ADC(2通道)、PWM1~6、Capture3、QEP。其控制系统主程序流程图如图5所示。   Fig.5 Flow chart of control system main program   (软件源程序及仿真)   4 结束语   本文以TI公司生产的TMS320LF2407A作为DSP控制器,对纺织机械电子横移系统的电子凸轮机构进行了实用设计。系统充分利用直线电机的优点,采用电流环、速度环的双闭环控制电极的位置和速度,先进的SVPWM控制算法对参数进行反复优化,使系统达到预期的位移控制精度和频率响应,并且在纺织机械电子横移系统上运行可靠。   参考文献:   [1] 朱成庆,伍宗富等.机电一体化概论[M].太原:山西科学技术出版社,2003.   [2] 钱平.伺服系统[M].北京:机械工业出版社,2005.   [3] 秦继荣,沈安俊. 现代直流伺服控制技术及其系统设计[M]. 北京: 机械工业出版社,1993   [4] 刘和平,严利平,张学锋,卓清锋. TMS320LF240XDSP结构、原理及应用[M] . 北京:北京航空航天大学出版社, 2002.

    赞(9)

    回复(0)

    评论

获取验证码
我已经阅读并接受《仪器网服务协议》

热门问答

有关正弦信号发生器的毕业论文
快毕业了,论文是Z头疼的,请各路大侠出手救救阿,不胜感激!!!!... 快毕业了,论文是Z头疼的,请各路大侠出手救救阿,不胜感激!!!! 展开
2009-03-03 21:08:34 451 1
正弦信号发生器
1。正弦波输出频率范围:1KH-10MH;2。具有频率设置功能,频率步进:100H;3。输出信号频率稳定度,优于10的负4次方4。输出电压幅度:在50欧负载电阻上的电压蜂-峰值Vopp>=1V;5。失真... 1。正弦波输出频率范围:1KH-10MH; 2。具有频率设置功能,频率步进:100H; 3。输出信号频率稳定度,优于10的负4次方 4。输出电压幅度:在50欧负载电阻上的电压蜂-峰值Vopp>=1V; 5。失真度:用示波器观察时无明显失真 我需要一个原理图,和计算方法。 展开
2009-07-23 13:14:51 444 3
单片机正弦信号发生器
用的是82C51接上一个DAC0832,用汇编语言写程序,对正弦波一个周期取256个样点,然后放进表格,上半波是正数,数据从00H开始到FFH再到00H,但是下半波是负数应该怎么写?比如-6是FAH,... 用的是82C51接上一个DAC0832,用汇编语言写程序,对正弦波一个周期取256个样点,然后放进表格,上半波是正数,数据从00H开始到FFH再到00H,但是下半波是负数应该怎么写?比如-6是FAH,但是这在上半波已经出现了 展开
2012-12-28 04:22:02 340 2
正弦信号发生器如何设计
 
2018-11-23 21:08:53 325 0
基于cordic正弦信号发生器怎么做
 
2017-05-01 02:55:37 279 1
2.设计一个正弦信号发生器。
2.设计一个正弦信号发生器。要求:(1)正弦波输出频率范围:1kHz~2MHz;(2)具有频率调节功能(频率可调);(3)输出信号频率稳定度:优于10-2;(4)输出电压幅度:在负载电阻上... 2.设计一个正弦信号发生器。要求: (1)正弦波输出频率范围:1kHz~2MHz; (2)具有频率调节功能(频率可调); (3)输出信号频率稳定度:优于10-2; (4)输出电压幅度:在 负载电阻上的电压峰-峰值Vopp≥1V; 大侠些,麻烦了,帮我设计一下,特别是那个频率可调1kHz-2MHz,网友laohuzhou88给的参考很不错,谢谢你了,不过现在我是初学者,还需要一些指点,成了再加分! 展开
2008-10-21 07:53:37 432 3
正弦信号发生器的主要性能指标有哪些?
 
2013-05-30 04:42:10 300 1
FPGA中,正弦信号发生器的作用是什么?
 
2018-07-02 11:43:19 339 1
设计并制作一个正弦信号发生器。
设计并制作一个正弦信号发生器。(1)设计制作的技术指标要求:1)正弦波输出频率范围:1kHz~10MHz;2)输出信号频率稳定度:优于10-4;3)输出电压幅度:在负载电阻上的电压峰-峰值... 设计并制作一个正弦信号发生器。 (1)设计制作的技术指标要求: 1)正弦波输出频率范围:1kHz~10MHz; 2)输出信号频率稳定度:优于10-4; 3)输出电压幅度:在 负载电阻上的电压峰-峰值Vopp≥1V; 4)失真度:用示波器观察时无明显失真。 展开
2013-12-11 04:04:32 374 3
关于正弦信号发生器的主要性能指标的问题?
正弦信号发生器的主要性能指标频率稳定度、非线性失真系数(失真度)、输出阻抗、输出电平及调制特性在工作性能生有什么区别?请各自指出。... 正弦信号发生器的主要性能指标频率稳定度、非线性失真系数(失真度)、输出阻抗、输出电平及调制特性在工作性能生有什么区别?请各自指出。 展开
2013-05-30 12:32:49 371 2
请求牛人解答 DAC0832正弦信号发生器程序
请求牛人解答这是一个用DAC0832的信号发生器程序,可有许多看不懂得地方,邀请牛人解答。。。1.这个程序频率值是怎么设定的?2.while(1){if(key_up==0)if(step<4096)step++;if(key_dw... 请求牛人解答
这是一个用DAC0832的信号发生器程序,可有许多看不懂得地方,邀请牛人解答。。。
1.这个程序频率值是怎么设定的?
2. while(1)
{
if(key_up == 0) if(step<4096) step++;
if(key_dw == 0) if(step>1) step--;
while((!key_up)||(!key_dw));
} 这是什么意思?4096这些是什么意思。。。 3.程序看不太懂,急需大致讲解。 下面是程序全部代码,邀请众位牛人帮忙解答,Z好各模块加上点注解,小弟不胜感激。。。 #include #include #define dac1 XBYTE[0xdfff] // X 轴0832一级琐存地址 unsigned char code type[256]={ 0x80,0x83,0x86,0x89,0x8c,0x8f,0x92,0x95,0x98,0x9c,0x9f,0xa2,0xa5,0xa8,0xab,0xae,0xb0,0xb3,0xb6,0xb9, 0xbc,0xbf,0xc1,0xc4,0xc7,0xc9,0xcc,0xce,0xd1,0xd3,0xd5,0xd8,0xda,0xdc,0xde,0xe0,0xe2,0xe4,0xe6,0xe8, 0xea,0xec,0xed,0xef,0xf0,0xf2,0xf3,0xf4,0xf6,0xf7,0xf8,0xf9,0xfa,0xfb,0xfc,0xfc,0xfd,0xfe,0xfe,0xff, 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xfe,0xfe,0xfd,0xfc,0xfc,0xfb,0xfa,0xf9,0xf8,0xf7, 0xf6,0xf5,0xf3,0xf2,0xf0,0xef,0xed,0xec,0xea,0xe8,0xe6,0xe4,0xe3,0xe1,0xde,0xdc,0xda,0xd8,0xd6,0xd3, 0xd1,0xce,0xcc,0xc9,0xc7,0xc4,0xc1,0xbf,0xbc,0xb9,0xb6,0xb4,0xb1,0xae,0xab,0xa8,0xa5,0xa2,0x9f,0x9c, 0x99,0x96,0x92,0x8f,0x8c,0x89,0x86,0x83,0x80,0x7d,0x79,0x76,0x73,0x70,0x6d,0x6a,0x67,0x64,0x61,0x5e, 0x5b,0x58,0x55,0x52,0x4f,0x4c,0x49,0x46,0x43,0x41,0x3e,0x3b,0x39,0x36,0x33,0x31,0x2e,0x2c,0x2a,0x27, 0x25,0x23,0x21,0x1f,0x1d,0x1b,0x19,0x17,0x15,0x14,0x12,0x10,0xf,0xd,0xc,0xb,0x9,0x8,0x7,0x6,0x5,0x4, 0x3,0x3,0x2,0x1,0x1,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x0,0x1,0x1,0x2,0x3,0x3,0x4,0x5,0x6,0x7, 0x8,0x9,0xa,0xc,0xd,0xe,0x10,0x12,0x13,0x15,0x17,0x18,0x1a,0x1c,0x1e,0x20,0x23,0x25,0x27,0x29,0x2c, 0x2e,0x30,0x33,0x35,0x38,0x3b,0x3d,0x40,0x43,0x46,0x48,0x4b,0x4e,0x51,0x54,0x57,0x5a,0x5d,0x60,0x63, 0x66,0x69,0x6c,0x6f,0x73,0x76,0x79,0x7c}; unsigned char i,j; unsigned int counter,step; sbit key_up = P3^2; sbit key_dw = P3^3; //定时器0初始化 void Init_Timer0(void) { TMOD = (TMOD & 0XF0) | 0X01; TH0 = 0xff; TL0 = 0xff; TR0 =1; ET0 =1; } main() { Init_Timer0(); step=2; EA = 1; while(1) { if(key_up == 0) if(step<4096) step++; if(key_dw == 0) if(step>1) step--; while((!key_up)||(!key_dw)); } } // 系统OS定时中断服务 void OS_Timer0(void) interrupt 1 using 2 { TH0 = 0xff; TL0 = 0xff; counter = counter + step; dac1=type[(unsigned int)counter>>8]; } 展开
2011-05-06 22:16:42 583 1
我需要设计制作一个正弦信号发生器
1、基本要求(1)正弦波输出频率范围:1kHz~10MHz;(2)具有频率设置功能,频率步进:100Hz;(3)输出信号频率稳定度:优于10-4;(4)输出电压幅度:在负载电阻上的电压峰-峰值Vo... 1、基本要求 (1)正弦波输出频率范围:1kHz~10MHz; (2)具有频率设置功能,频率步进:100Hz; (3)输出信号频率稳定度:优于10-4; (4)输出电压幅度:在 负载电阻上的电压峰-峰值Vopp≥1V; 展开
2011-06-13 01:46:22 347 5
求助请教基于FPGA的verilog正弦信号发生器设计
附带程序,Z好有注解... 附带程序,Z好有注解 展开
2012-05-03 15:02:14 360 1
女生毕业设计 基于FPGA的正弦信号发生器 请教问题
我有一块黑金开发板,然后还有一块DAAD模块,现在波形数据那些都弄出来了,不知道怎么使开发板和DA模块相关联然后通过示波器观察波形,我是直接将ROM里的输出q直接和模块的IO口相连,... 我有一块黑金开发板,然后还有一块DAAD模块,现在波形数据那些都弄出来了,不知道怎么使开发板和DA模块相关联然后通过示波器观察波形,我是直接将ROM里的输出q直接和模块的IO口相连,没管DACLK,这样不对吧,真的不知道怎么弄了,请教各位大佬们,谢谢回答。还有我没有像图中的那样q出来还外接DAC[9..0],请问需要吗? 展开
2018-11-30 16:58:06 287 0
求有关核磁共振之类的书籍,毕业论文用,谢谢
详细介绍核磁共振成像及其原理,应用,发展前景等等的都可以
2013-07-25 14:43:49 449 1
单片机+DAC0832做的正弦信号发生器,输出频率怎么算?
 
2013-05-01 23:25:10 266 1
如何设计正弦信号发生器要求信号振幅可调0-15V
 
2013-05-17 16:23:31 347 2
正弦信号发生器的主要性能指标有哪些?各自具有什么含义?
 
2013-06-06 03:51:56 909 2
就一个简易正弦信号发生器的设计的大致作法。 技术指标如下:
1K~1MHz;输出频率步进间隔:1KHz。... 1K~1M Hz; 输出频率步进间隔:1K Hz。 展开
2012-01-05 06:14:24 369 2
利用振荡法测电阻电感电容是不是不用正弦信号发生器?
 
2012-08-14 05:48:12 263 1

9月突出贡献榜

推荐主页

最新话题