仪器网(yiqi.com)欢迎您!

| 注册 登录
网站首页-资讯-专题- 微头条-话题-产品- 品牌库-搜索-供应商- 展会-招标-采购- 社区-知识-技术-资料库-方案-直播- 视频

问答社区

关于FPGA设计软件

小夜猫002 2012-04-23 22:15:47 384  浏览
  • 不同的FPGA对软件有要求吗?比如说xilinx的FPGA一定要用ise,altera的一定要用quartus吗?还有用的比较的软件是哪种?刚开始学FPGA,比较困惑,麻烦懂的,介绍经验,谢谢!... 不同的FPGA对软件有要求吗?比如说xilinx的FPGA一定要用ise,altera的一定要用quartus吗?还有用的比较的软件是哪种?刚开始学FPGA,比较困惑,麻烦懂的,介绍经验,谢谢! 展开

参与评论

全部评论(4条)

  • 君_猎艳 2012-04-24 00:00:00
    Xilinx一定要用ISE,Altera一定要用Quartus。这两个软件我都用过,其实没什么本质上的区别,至少不同公司的不同软件开发环境而已。个人感觉Xilinx的FPGA更强大。。

    赞(11)

    回复(0)

    评论

  • 开放少年20 2012-04-26 00:00:00
    软件都是可以用的,不过因为芯片不同,选择芯片和分配管脚约束会不一样,下载到板子的时候是要考虑这些的,所以常用哪个公司的板子,就用那个软件把。 modelsim是比较好的仿真软件,可以用来对语法查错,仿真、、、、、

    赞(6)

    回复(0)

    评论

  • 小太阳戚 2012-04-27 00:00:00
    除了厂家提供的软件,还有专门的eda公司提供的软件可用,而且效率还更高一些。刚开始学习的话,你要买的是altera的话,你就用quartus;如果买的xilinx,就用ise,不要去纠结用什么软件,不重要。

    赞(2)

    回复(0)

    评论

  • l馬l 2017-09-05 00:00:00
    专用的,毕竟FPGA芯片供应商只有四家。一般开发工具(软件)不是学习的ZD,ZD是理解FPGA的内涵,理解硬件电路的设计和硬件电路系统的知识。其次是HDL语言(Verilog和VHDL)的学习或二者同时学习,Z后才是开发工具的学习使用,前二者为重中之重。 建议有数字电路和微机原理的同学从Verilog学习,一边复习数字电路基础知识一边学习FPGA和Verilog语言知识,当然书籍就是夏文宇老师的那两本书了(自己找吧)。

    赞(19)

    回复(0)

    评论

获取验证码
我已经阅读并接受《仪器网服务协议》

热门问答

关于FPGA设计软件
不同的FPGA对软件有要求吗?比如说xilinx的FPGA一定要用ise,altera的一定要用quartus吗?还有用的比较的软件是哪种?刚开始学FPGA,比较困惑,麻烦懂的,介绍经验,谢谢!... 不同的FPGA对软件有要求吗?比如说xilinx的FPGA一定要用ise,altera的一定要用quartus吗?还有用的比较的软件是哪种?刚开始学FPGA,比较困惑,麻烦懂的,介绍经验,谢谢! 展开
2012-04-23 22:15:47 384 4
关于FPGA信号发生器
只有波形ROM的VHDL语言和电路图能够进行仿真吗?QuartusII7.2(32-Bit)自带仿真吗?仿真时参数要怎么调试... 只有波形ROM的VHDL语言和电路图能够进行仿真吗? Quartus II 7.2 (32-Bit)自带仿真吗? 仿真时参数要怎么调试 展开
2015-03-27 13:34:42 254 1
关于钢结构设计软件哪个比较好用
 
2016-12-07 04:59:37 287 1
关于钢结构设计软件哪个比较好用啊 详细??
 
2013-11-05 00:11:15 344 3
请教些关于FIR滤波器的FPGA实现中遇到的一些问题:
1、在用matlab的fdatool设计滤波器生成系数时,里面的滤波器采样频率Fs是只要满足奈奎斯特定理就行了吗?譬如,我用40MHz采样速率的AD采集5MHz频率的数据,经FIR滤波,这里的Fs怎么确定,是40MHz,还是大于10MHz就行了? 2、我采集的数据都是正数,而fda生成的... 1、在用matlab的fdatool设计滤波器生成系数时,里面的滤波器采样频率Fs是只要满足奈奎斯特定理就行了吗?譬如,我用40MHz采样速率的AD采集5MHz频率的数据,经FIR滤波,这里的Fs怎么确定,是40MHz,还是大于10MHz就行了? 2、我采集的数据都是正数,而fda生成的系数有负的,对这负系数采用什么编码方法啊? 3、Z后的数据需要截位,一般截哪些位啊? 暂时就这些问题,望高手帮忙解决下,不甚感激! 展开
2011-06-15 07:32:08 364 3
基于fpga的逻辑分析仪中的fpga起什么作用
 
2018-11-17 23:02:38 310 0
压力容器设计软件
目前使用的较好的压力容器设计、计算方面的软件有哪些?网上有免费下载的嘛?... 目前使用的较好的压力容器设计、计算方面的软件有哪些?网上有免费下载的嘛? 展开
2017-11-25 14:07:17 626 1
珠宝设计软件
现在用jewelCAD有一个问题是这个软件只支持导入BMP格式的图片不支持矢量图想问问有没有其他专业的珠宝设计软件支持矢量图的?犀牛?... 现在用 jewelCAD 有一个问题是这个软件只支持导入BMP格式的图片 不支持矢量图 想问问有没有其他专业的珠宝设计软件 支持矢量图的?犀牛? 展开
2017-11-21 13:11:47 588 1
网络设计软件?
 
2015-02-02 09:05:13 276 1
齿轮设计软件
谁有齿轮设计软件呀!请发给我一个,谢谢!注:不要“六艺方圆”的,这个我有,变位系数不能自动分配,其它参数校核不能改,不好用;我自已编了一个EXCEL的是按照等滑动系数分配的,每... 谁有齿轮设计软件呀!请发给我一个,谢谢! 注:不要“六艺方圆”的,这个我有,变位系数不能自动分配,其它参数校核不能改,不好用; 我自已编了一个EXCEL的是按照等滑动系数分配的,每次要凑参数太麻烦。 谁有比较好的设计软件,可以按照不同方法分配变位系数,希望可以发给我一个!谢谢! 展开
2014-02-24 17:31:04 625 3
基于FPGA的数字频率计
不要子模块,,要一个完整的代码,不用调用,直接可以编译的。。拜托了。。。。是用的VHDL语言。。
2016-02-12 15:47:21 273 1
如何用fpga做一个信号发生器
 
2017-06-04 14:35:09 339 1
如何用FPGA实现信号发生器?
1.要求可输出正玄波方波锯齿波....2.要求频率可调(用单片机)可显示频率.3.要能附加原理图和参考程序谢谢我的邮箱是guzhying@163.com请高手发给我谢谢... 1.要求可输出正玄波 方波 锯齿波.... 2.要求频率可调(用单片机) 可显示频率. 3.要能附加原理图 和参考程序 谢谢 我的邮箱是guzhying@163.com 请高手发给我 谢谢 展开
2009-08-17 11:44:17 286 4
labview能不能做fpga仿真
 
2016-01-24 15:39:08 336 1
有没有人用过Labview Fpga?
请问Labview Fpga是不是可以对任何一家公司的Fpga写入程序?这中间是通过什么接口通信的?
2009-11-27 01:14:54 351 2
怎样用FPGA实现数字频率测量
 
2018-11-19 00:09:26 288 0
FPGA,频率计,数码管显示问题!
做频率计,到数码管显示,烧入板中,显示乱码,不知道什么问题,也不知道扫描时钟怎么设置,下面程序的CLK,指的是什么??求大神解答! LIBRARY IEEE; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity display is port(in7,in... 做频率计,到数码管显示,烧入板中,显示乱码,不知道什么问题,也不知道扫描时钟怎么设置,下面程序的CLK,指的是什么??求大神解答! LIBRARY IEEE; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity display is port(in7,in6,in5,in4,in3,in2,in1,in0:in std_logic_vector(3 downto 0); lout7:out std_logic_vector(7 downto 0); SEL:OUT STD_LOGIC_VECTOR(2 DOWNTO 0); clk:in std_logic ); end display; architecture phtao of display is signal s:std_logic_vector(2 downto 0); signal lout4:std_logic_vector(3 downto 0); begin process (clk) begin if (clk'event and clk='1')then if (s="111") then s<="000"; else s<=s+1; end if; end if; sel<=s; end process; process (s) begin case s is when "000"=>lout4<=in6; when "001"=>lout4<=in5; when "010"=>lout4<=in4; when "011"=>lout4<="0000"; when "100"=>lout4<=in3; when "101"=>lout4<=in2; when "110"=>lout4<=in1; when "111"=>lout4<="0000"; when others=>lout4<="XXXX"; end case; case lout4 is when "0000"=>lout7<="00111111"; when "0001"=>lout7<="00000110"; when "0010"=>lout7<="01011011"; when "0011"=>lout7<="01001111"; when "0100"=>lout7<="01100110"; when "0101"=>lout7<="01101101"; when "0110"=>lout7<="01111101"; when "0111"=>lout7<="00000111"; when "1000"=>lout7<="01111111"; when "1001"=>lout7<="01100111"; when "1010"=>lout7<="00111111"; when "1111"=>lout7<="01000000"; when others=>lout7<="XXXXXXXX"; end case; end process; end phtao; 展开
2015-07-04 01:06:37 616 2
fpga电路能用面包板么
 
2018-12-05 06:43:03 362 0
设计一个FPGA计时器程序
要求4位动态显示显示000.0S,精确度0.01S(这个没有也可以),按键开始、暂停、清零.
2013-06-26 00:32:29 272 2
fpga怎么计算 fcs udp
 
2018-11-18 18:08:43 200 0

11月突出贡献榜

推荐主页

最新话题