仪器网(yiqi.com)欢迎您!

| 注册 登录
网站首页-资讯-专题- 微头条-话题-产品- 品牌库-搜索-供应商- 展会-招标-采购- 社区-知识-技术-资料库-方案-直播- 视频

问答社区

求助simulink 如何搭建 产生 由1的脉冲产生信号

废废1222 2017-06-05 01:30:04 433  浏览
  •  

参与评论

全部评论(1条)

  • 萌狸仔甴 2017-06-06 00:00:00
    simulink所信号都同间相关y^2=2/3xx我估计间用普通模块搭建即改y=sqrt(2/3*t), t用clock2/3用gainsqrt用matlab fcn

    赞(4)

    回复(0)

    评论

获取验证码
我已经阅读并接受《仪器网服务协议》

热门问答

求助simulink 如何搭建 产生 由1的脉冲产生信号
 
2017-06-05 01:30:04 433 1
MATLAB的Simulink怎样产生随机脉冲
Simulink里面的pulsegenerator产生的脉冲都是周期的脉冲信号,怎样才能让它产生随机的脉冲信号啊,就是脉冲是随机出现的,是需要用其他元件来控制脉冲产生器么?还是用其他的方法?求... Simulink里面的pulse generator产生的脉冲都是周期的脉冲信号,怎样才能让它产生随机的脉冲信号啊,就是脉冲是随机出现的,是需要用其他元件来控制脉冲产生器么?还是用其他的方法?求帮助 展开
2016-05-08 17:43:03 600 1
怎样simulink中的信号发生器产生信号
 
2012-05-09 11:42:54 347 1
如何由晶振产生1HZ的信号
 
2010-09-05 19:26:14 251 3
QPSK信号是如何产生的?
 
2017-02-14 02:04:40 247 1
如何使用信号发生器产生一个负脉冲
 
2012-09-03 01:52:47 215 2
用石英晶体振荡器如何产生1Hz脉冲的
 
2011-07-17 21:18:41 726 2
verilog HDL 当S信号发生变化时,产生一个脉冲。
输入信号:CLK,S输出信号:A当S发生变化时,A输出一个脉冲信号(宽度与CLK信号一致)求代码,谢谢啦!... 输入信号: CLK,S 输出信号: A 当S发生变化时,A输出一个脉冲信号(宽度与CLK信号一致) 求代码,谢谢啦! 展开
2012-12-06 19:51:54 361 3
低频信号发生器的低频震荡信号由什么振荡器产生
 
2010-04-15 20:17:39 519 2
电磁流量计产生什么样的信号
 
2010-12-21 05:17:21 222 5
扫频仪中如何产生扫频信号?
 
2016-04-19 22:49:41 411 2
在simulink中如何产生脉宽为3s的方波信号
 
2018-02-28 14:09:14 560 1
振动信号是如何产生和拾取的
 
2013-12-09 05:05:37 333 1
大电流的产生如何产生?
我想做一个设备的过电流测试台,现在大电流的产生是个难题(350A左右,至少可以持续一个小时),不知道哪位英雄有好的建议。(成本嘛,尽量低些了。)
2007-04-08 00:36:19 359 4
求助:用集成信号发生节点分别产生几种波形
 
2018-11-16 03:16:44 278 0
GPS秒脉冲信号是一秒产生一次脉冲呢还是一秒产生一串脉冲波形
秒脉冲就是GPS时间同步的PPS... 秒脉冲就是GPS时间同步的PPS 展开
2017-11-22 11:56:25 518 1
一般利用rc振荡器产生什么信号,lc振荡器产生什么信号
 
2016-09-23 11:21:39 439 1
方波,正弦波,三角波信号是如何产生的
 
2006-05-17 04:27:15 728 4
multisim怎么产生PWM信号
 
2017-07-26 12:03:36 770 1
电平信号和脉冲信号的产生
请问是怎么产生的,都是通过电压吗?为什么电压会产生这两种不同的信号?谢谢。... 请问是怎么产生的,都是通过电压吗?为什么电压会产生这两种不同的信号?谢谢。 展开
2017-09-03 12:31:59 414 1

9月突出贡献榜

推荐主页

最新话题