仪器网(yiqi.com)欢迎您!

| 注册 登录
网站首页-资讯-专题- 微头条-话题-产品- 品牌库-搜索-供应商- 展会-招标-采购- 社区-知识-技术-资料库-方案-直播- 视频

问答社区

求基于FPGA的信号发生器 毕业设计

467384587静 2012-04-07 19:14:23 413  浏览
  • 设计一种基于FPGA的新型可调信号发生器,要求通过QuartusII软件及VHDL编程语言设计定制数据ROM,并通过地址指针读取ROM中不同区域的数据,根据读取数据间隔的不同,实现调整频率功能。... 设计一种基于FPGA的新型可调信号发生器,要求通过QuartusII软件及VHDL编程语言设计定制数据ROM,并通过地址指针读取ROM中不同区域的数据,根据读取数据间隔的不同,实现调整频率功能。设计要求可产生正弦波、方波、三角波和锯齿波4种波形信号。邮箱:564710692@qq.com 多谢~ 展开

参与评论

全部评论(2条)

  • 我在我在岁月 2012-05-07 00:00:00
    这个很简单的,看一别人的文章,或在网上下个例子,自己改一下就行了!我有例子,可以发给你332400178@qq.com

    赞(3)

    回复(0)

    评论

  • 怡红院的公子 2012-04-08 00:00:00
    我做过任意波形发生器,正弦波、方波、三角波和锯齿波是Z简单的常规波形,核心是DDS,做起来不是非常难,但是你不想做的话估计没人免费给你。 你可以看一下我的论文,任意波形发生器的。http://www.paper.edu.cn/index.php/default/releasepaper/content/201007-536

    赞(7)

    回复(0)

    评论

获取验证码
我已经阅读并接受《仪器网服务协议》

热门问答

求基于FPGA的信号发生器 毕业设计
设计一种基于FPGA的新型可调信号发生器,要求通过QuartusII软件及VHDL编程语言设计定制数据ROM,并通过地址指针读取ROM中不同区域的数据,根据读取数据间隔的不同,实现调整频率功能。... 设计一种基于FPGA的新型可调信号发生器,要求通过QuartusII软件及VHDL编程语言设计定制数据ROM,并通过地址指针读取ROM中不同区域的数据,根据读取数据间隔的不同,实现调整频率功能。设计要求可产生正弦波、方波、三角波和锯齿波4种波形信号。邮箱:564710692@qq.com 多谢~ 展开
2012-04-07 19:14:23 413 2
基于fpga的信号发生器设计怎么做
 
2018-11-22 01:25:10 349 0
基于icl8038的正弦波信号发生器的毕业设计
 
2012-04-30 01:15:33 372 1
求函数信号发生器毕业设计
设计要求:1.正弦波、三角波、方波输出频率范围:1kHz~10MHz;2.具有频率设置功能,频率步进:100Hz;3.输出信号频率稳定度:优于10-4;4.输出电压幅度:在负载电阻上的电压峰-峰值V... 设计要求:1.正弦波、三角波、方波输出频率范围:1kHz~10MHz; 2.具有频率设置功能,频率步进:100Hz; 3.输出信号频率稳定度:优于10-4; 4.输出电压幅度:在 负载电阻上的电压峰-峰值Vopp≥1V; 5.失真度:用示波器观察时无明显失真。 展开
2008-11-25 12:26:58 299 1
女生毕业设计 基于FPGA的正弦信号发生器 请教问题
我有一块黑金开发板,然后还有一块DAAD模块,现在波形数据那些都弄出来了,不知道怎么使开发板和DA模块相关联然后通过示波器观察波形,我是直接将ROM里的输出q直接和模块的IO口相连,... 我有一块黑金开发板,然后还有一块DAAD模块,现在波形数据那些都弄出来了,不知道怎么使开发板和DA模块相关联然后通过示波器观察波形,我是直接将ROM里的输出q直接和模块的IO口相连,没管DACLK,这样不对吧,真的不知道怎么弄了,请教各位大佬们,谢谢回答。还有我没有像图中的那样q出来还外接DAC[9..0],请问需要吗? 展开
2018-11-30 16:58:06 289 0
急求基于FPGA的频率计设计
要求:测量范围0.1HZ到50MHZ,测频精度万分之一,脉宽测试范围0.1s到1s,占空比精度1%到99%。(注:软件用的是quartus 2)。 各程序的作用介绍详细点(特别是上面几个要求的地方),我会加分的,当然能完成编译和仿真的,我会加的更多。可以百度附件发给我。Z... 要求:测量范围0.1HZ到50MHZ,测频精度万分之一,脉宽测试范围0.1s到1s,占空比精度1%到99%。(注:软件用的是quartus 2)。 各程序的作用介绍详细点(特别是上面几个要求的地方),我会加分的,当然能完成编译和仿真的,我会加的更多。可以百度附件发给我。Z后,谢谢。 展开
2013-12-09 05:14:34 349 2
你那有基于fpga的信号发生器的资料么?
 
2012-05-24 06:14:06 245 1
基于FPGA的函数信号发生器如何设置引脚?谢谢
 
2012-10-28 02:14:03 215 1
有没有可以做基于fpga的函数信号发生器的毕设的,急求~
付钱的,不是无偿... 付钱的,不是无偿 展开
2015-05-10 12:09:23 319 2
哪位学长给点基于FPGA做信号发生器的资料啊
做毕设用的。有的话发邮箱:songpengpeng1987@sina.com谢谢啦... 做毕设用的。有的话发邮箱:songpengpeng1987@sina.com 谢谢啦 展开
2009-04-10 21:32:04 189 1
基于FPGA的数字频率计
不要子模块,,要一个完整的代码,不用调用,直接可以编译的。。拜托了。。。。是用的VHDL语言。。
2016-02-12 15:47:21 273 1
求基于LabVIEW的随机信号发生器!!
diyi次来,实在木有办法请教各位大侠,我需要基于LabVIEW的随机信号发生器呀!!!谢谢谢谢。!!... diyi次来,实在木有办法请教各位大侠,我需要基于LabVIEW的随机信号发生器呀!!!谢谢谢谢。!! 展开
2012-05-13 00:12:41 412 2
谁会做基于FPGA的DDS调频信号发生器的VHDL程序
 
2011-04-11 02:53:50 334 1
函数信号发生器毕业设计
 
2018-12-01 10:12:31 253 0
求 基于FPGA的等精度频率计设计 毕业论文
采用等精度测频原理进行数字频率计设计,小车了对被测信号计数产生的误差,测量精度得以提升。本课题要求完成基于FPGA的等精度频率计的应用方案设计,并在DE2-70上进行验证。 参数要求: 测量信号为方波,频率范围:1HZ~9999Hz 测量误差<0.017% 采用液晶模... 采用等精度测频原理进行数字频率计设计,小车了对被测信号计数产生的误差,测量精度得以提升。本课题要求完成基于FPGA的等精度频率计的应用方案设计,并在DE2-70上进行验证。 参数要求: 测量信号为方波,频率范围:1HZ~9999Hz 测量误差<0.017% 采用液晶模块进行显示,显示刷下时间1~3秒可调 具有清零功能 各位大神可以发我QQ邮箱 395107842@qq.com 谢谢了!! 展开
2011-12-21 06:18:14 451 2
关于FPGA信号发生器
只有波形ROM的VHDL语言和电路图能够进行仿真吗?QuartusII7.2(32-Bit)自带仿真吗?仿真时参数要怎么调试... 只有波形ROM的VHDL语言和电路图能够进行仿真吗? Quartus II 7.2 (32-Bit)自带仿真吗? 仿真时参数要怎么调试 展开
2015-03-27 13:34:42 254 1
基于AT89C51单片机的数字频率计毕业设计
AT89C51单片机 测频范围10HZ-10MHZ 多种波形 ZD是需要添加其他功能 比如测幅值 之类的 (添加功能任意 保证毕业设计的工作量不会太简单) 不支持私下交易,求淘宝链接之类的
2015-11-09 03:36:40 465 2
基于fpga的逻辑分析仪中的fpga起什么作用
 
2018-11-17 23:02:38 310 0
基于FPGA正弦波发生器的设计
二、设计目标:1)设计一个正弦信号发生器,并确定频率范围;2)实现频率的步进可调功能;3)确定信号发生器的稳定性及其频率精度;4)实现频率和幅度的显示功能。我的QQ:185574352急... 二、设计目标: 1)设计一个正弦信号发生器,并确定频率范围; 2)实现频率的步进可调功能; 3)确定信号发生器的稳定性及其频率精度; 4)实现频率和幅度的显示功能。 我的QQ:185574352 急求!!!!!!!!!!!!!!! 展开
2011-05-02 04:07:00 366 4
基于FPGA 的简易频率计设计
基于FPGA 的简易频率计设计 利用FFT模块(点数512)对信号进行频谱分析,用SignalTap 显示频谱 设计程序找出频率值。
2015-11-21 07:30:29 423 1

10月突出贡献榜

推荐主页

最新话题