仪器网(yiqi.com)欢迎您!

| 注册 登录
网站首页-资讯-专题- 微头条-话题-产品- 品牌库-搜索-供应商- 展会-招标-采购- 社区-知识-技术-资料库-方案-直播- 视频

问答社区

摩尔高手帮帮我。。。

悟缘牵尘 2011-08-21 00:23:30 377  浏览
  • 目前我的背包还剩下图片中的物品,我该怎么使用它们呢?... 目前我的背包还剩下图片中的物品,我该怎么使用它们呢? 展开

参与评论

全部评论(5条)

  • djb131455667 2011-08-22 00:00:00
    能卖就卖

    赞(10)

    回复(0)

    评论

  • 保尔福特V9q 2011-08-22 00:00:00
    农作物找梅森卖,动物找那个谁卖,羽毛用在拉姆教室,有的给动物吃,有的放进微波炉合成。。。。。。。。

    赞(19)

    回复(0)

    评论

  • aa4834216 2011-08-22 00:00:00
    卖掉或用掉

    赞(16)

    回复(0)

    评论

  • 十铭可铭 2011-08-22 00:00:00
    卖了,或用了。我比你还悲催我15页 水果神马的可以找梅森卖

    赞(20)

    回复(0)

    评论

  • 赌术人家 2011-08-23 00:00:00
    农作物留下可以在友谊宝盒里送给好友或者在礼物店合成礼物送好友或者去餐厅合成拉姆食品 橘豆(diyi页第三行第三个)可以高价卖给花婶,如果你不孵化龙蛋就把能量块(diyi页Z后一行)卖给花婶 diyi页中间两行是拉姆教室道具Z好不要扔 土地卡是摩摩餐厅凭证不能卖,旋风车钥匙是开车必备的不能卖 第二页的道具可以在R4合成道具完成建筑师任务 动物幼仔可以放在牧场养殖或卖给尤尤 其余不能卖的而且不是重要物品的可以去家具店让那个怪物(我也不知道叫神马)变成道具

    赞(1)

    回复(0)

    评论

获取验证码
我已经阅读并接受《仪器网服务协议》

热门问答

摩尔高手帮帮我。。。
目前我的背包还剩下图片中的物品,我该怎么使用它们呢?... 目前我的背包还剩下图片中的物品,我该怎么使用它们呢? 展开
2011-08-21 00:23:30 377 5
怎么样保护视力?上初中了、觉得自己视力下降了、高手帮帮我、
 
2012-10-21 04:24:57 368 4
帮帮我走出抑郁
我是一个22岁的女孩,性格有点内向。曾谈过三次恋爱,一个是我对他失去了感觉,另外两个是他们对我失去了感觉。目前我正处于失恋状态。第二个男朋友的离去对我打击很大,那个时候抑郁了很长一段时间,几乎很长一段时间都处于要崩溃的状态。三年后,慢慢的好不... 我是一个22岁的女孩,性格有点内向。曾谈过三次恋爱,一个是我对他失去了感觉,另外两个是他们对我失去了感觉。目前我正处于失恋状态。第二个男朋友的离去对我打击很大,那个时候抑郁了很长一段时间,几乎很长一段时间都处于要崩溃的状态。三年后,慢慢的好不容易从那种痛苦中走出来。遇到了第二个我爱的人,可偏偏上天又这样捉弄了我。第二次被心爱的人甩了。本来刚刚从前一段痛苦中走出,几乎能过上正常人的生活了,可现在又陷入了另一个怪圈。又开始沉沦了。而且现在连对生活都失去了信心,觉得什么目标都没有,做什么都不感兴趣。我很想走出来,很想热情的对待生活。 由于我性格内向,容易钻牛角尖,我现在觉得我好自卑,谈恋爱总被甩。朋友又少,工作又不稳定。 我该如何走出这个困境?我朋友少,我性格内向,如何才能交到很多朋友呢? 展开
2008-09-22 02:48:33 376 4
这是尺寸。帮帮我吧。
 
2012-03-19 07:10:08 457 2
大家帮帮我,高分相送
我现在在北京it,住在江苏,GF家在兰州,原来打算3月份到北京培训,呆上一个月,原本打算明年订婚。 昨日得知GF的妈妈得了急性脑溢血,流血量较大,现在处于昏迷状态,全身好象没有知觉一样,来得太突然,听着女朋友电话里伤心欲觉,心里边确实很难受。 虽... 我现在在北京it,住在江苏,GF家在兰州,原来打算3月份到北京培训,呆上一个月,原本打算明年订婚。 昨日得知GF的妈妈得了急性脑溢血,流血量较大,现在处于昏迷状态,全身好象没有知觉一样,来得太突然,听着女朋友电话里伤心欲觉,心里边确实很难受。 虽然我一直说让她坚强起来,但是这种事情让她坚强感觉根本安慰不了她。 各位上网的兄弟姐妹,你们说说我该怎么办? 如果大家有些比较好的信息(ZL脑溢血的),或者好的建议(让我能安慰我的女朋友),告诉我好吗?万分感激 展开
2006-02-26 00:33:39 505 4
新手养鱼!硝化菌和过滤棉的问题、请养鱼高手帮帮我!在线等。。。
经过几次反复试验、貌似我找到了培养硝化菌的方法、我把硝化菌胶囊放在过滤盒里面自动溶解了、现在已经一个礼拜了、鱼缸里面的水还是很清澈透明、但是现在过滤棉很脏、有鱼的粪便和一... 经过几次反复试验、貌似我找到了培养硝化菌的方法、我把硝化菌胶囊放在过滤盒里面自动溶解了、现在已经一个礼拜了、鱼缸里面的水还是很清澈透明、但是现在过滤棉很脏、有鱼的粪便和一些杂质、我想清洗一下、但是都说硝化菌已经在过滤棉里安家了、我改怎么办?可以洗一下过滤棉吗?直接用清水洗一洗、可以用香皂什么的去除一下污垢吗?洗了之后硝化菌会不会死亡呢?鱼缸的水又变浑浊了?!!! 展开
2011-12-09 02:48:57 518 4
max 数字频率计 顶层文件VHDL仿真编译时出现如下图问题,跪求高手帮帮我
译码器 entity decoder3_8 is port(a:in std_logic_vector(2 downto 0); qc:out std_logic_vector(3 downto 0)); end decoder3_8; architecture rtl of decoder3_8 is begin process(a) ... 译码器 entity decoder3_8 is port(a:in std_logic_vector(2 downto 0); qc:out std_logic_vector(3 downto 0)); end decoder3_8; architecture rtl of decoder3_8 is begin process(a) begin case a is when"000"=>qc<="0000"; when"001"=>qc<="0001"; when"010"=>qc<="0010"; when"011"=>qc<="0011"; when others =>qc<="1111"; end case; end process; end rtl; 模块 CH entity ch is port(sel:in std_logic_vector(2 downto 0); a3,a2,a1,a0,dang:in std_logic_vector(3 downto 0); q:out std_logic_vector(3 downto 0)); end ch; architecture ch_arc of ch is begin process(sel) begin case sel is when"000"=>q<=a0; when"001"=>q<=a1; when"010"=>q<=a2; when"011"=>q<=a3; when"111"=>q<=dang; when others=>q<="1111"; end case; end process; end ch_arc; 选择模块 entity sel is port(clk:in std_logic; sl:out std_logic_vector(2 downto 0)); end sel; architecture sel_arc of sel is begin process(clk) variable cnt:std_logic_vector(2 downto 0); begin if clk'event and clk='1' then cnt:=cnt+1; end if; sl<=cnt; end process; end sel_arc; 顶层文件: ENTITY hql IS PORT(clr,sig,clk:IN STD_LOGIC; alm:OUT STD_LOGIC; q:OUT STD_LOGIC_VECTOR(6 DOWNTO 0); qc :OUT STD_LOGIC_VECTOR(3 DOWNTO 0); END hql; ARCHITECTURE sd OF hql IS COMPONENT fen port(clk:in std_logic; q:out std_logic); end COMPONENT; COMPONENT selx port(clk:in std_logic; sl:out std_logic_vector(2 downto 0)); end COMPONENT; COMPONENT corna port(clr,sig,door:in std_logic; alm:out std_logic; q3,q2,q1,q0,dang:out std_logic_vector(3 downto 0)); end COMPONENT; COMPONENT lock port(l:in std_logic; a4,a3,a2,a1,a0:in std_logic_vector(3 downto 0); q4,q3,q2,q1,q0:out std_logic_vector(3 downto 0)); end COMPONENT; COMPONENT ch port(sel:in std_logic_vector(2 downto 0); a3,a2,a1,a0,dang:in std_logic_vector(3 downto 0); q:out std_logic_vector(3 downto 0)); end COMPONENT; COMPONENT disp port(d:in std_logic_vector(3 downto 0); q:out std_logic_vector(6 downto 0)); end COMPONENT; COMPONENT decoder3_8 port(a:in std_logic_vector(2 downto 0); qc:out std_logic_vector(3 downto 0)); end COMPONENT; SIGNAL qq:STD_LOGIC; SIGNAL qq3,qq2,qq1,qq0,qqdang:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL tt4,tt3,tt2,tt1,tt0:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL ww:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL SS:STD_LOGIC_VECTOR(2 DOWNTO 0); BEGIN u1:fen PORT MAP(clk=>clk,q=>qq); u2:selx PORT MAP(clk=>clk,SL=>SS); u3:corna PORT MAP(clr=>clr,sig=>sig,door=>qq,alm=>alm,q3=>qq3,q2=>qq2,q1=>qq1,q0=>qq0,dang=>qqdang); u4:lock PORT MAP(l=>qq,a4=>qq3,a3=>qq3,a2=>qq2,a1=>qq1,a0=>qqdang,q4=>tt4,q3=>tt3,q2=>tt2,q1=>tt1,q0=>tt0); u5:ch PORT MAP(sel=>SS,a3=>tt4,a2=>tt3,a1=>tt2,a0=>tt1,dang=>tt0,q=>ww); u6:disp PORT MAP(d=>ww,q=>q); u7:decoder3_8 PORT MAP(a=>SS,qc=>qc); END sd; 由于超出字数所以把库说明头程序删了 展开
2011-05-11 18:24:02 366 1
1摩尔水与一摩尔辛烷谁重
1摩尔水与一摩尔辛烷谁重... 1摩尔水与一摩尔辛烷谁重 展开
2015-12-25 08:02:39 427 1
板载网卡出问题了,帮帮我
今天开电脑板载网卡指示灯是亮的(一直是绿色没有闪)本地连接没有出现,这是怎么回事?上个月11号我的板载网卡疑似被雷击也是本地连接不出来,拿去电脑城换了个独立网卡,拿回家开机... 今天开电脑板载网卡指示灯是亮的(一直是绿色没有闪)本地连接没有出现,这是怎么回事?上个月11号我的板载网卡疑似被雷击也是本地连接不出来,拿去电脑城换了个独立网卡,拿回家开机后板载网卡和独立网卡的本地连接提示都出来了,我把网线又查进板载网卡,又能上网了,不知道是怎么回事,一直用到现在又连接不上,在设备管理器和网上邻居属性都找不到板载网卡了,是不是可以确定板载网卡已经彻底坏了,为什么上次换了个独立网卡会家板载的有能用了,麻烦解释一下,我是菜鸟谢谢!我现在启用独立网卡上网。 展开
2010-10-03 16:21:27 445 1
摩尔电导率的计算公式
 
2018-11-26 02:22:33 213 0
1摩尔乙酰CoA彻底氧化生成多少摩尔的ATP
 
2018-11-24 01:40:25 233 0
帮帮我 这个都怎么写啊
1. 简述溶酶体的主要生物学作用。 2. 真核细胞组蛋白如何分类?在染色体组装中各起什么作用? 3. 常染色质和异染色质在结构和功能上有何异同? 4.中间纤维是如何装配的? 5.简述核基质的主要功能。
2015-03-19 01:57:44 449 1
一摩尔香柠檬醚可与几摩尔氢气发生加成反应???
 
2014-03-29 00:22:07 238 2
feso4.7h2o的摩尔磁化率是多少
 
2016-10-01 09:18:57 375 1
摩尔超纯水器报警是什么原因
 
2012-03-11 15:51:10 320 1
摩尔电导率的单位是什么
摩尔电导率的单位是什么
2017-08-23 17:54:05 813 1
如何测定物质摩尔电导率?
现有一种三元配合物,不溶于水、甲醇、乙醇、丙酮、冰乙酸、盐酸,可溶于1%乙酸水溶液。请问该如何测该物质的摩尔电导率?还有测定摩尔电导率后怎么可以看出电解质类型? 麻烦列出详细方法和步骤!谢谢~
2010-03-06 15:15:12 706 1
摩尔庄园大卫机器人密码
 
2009-05-04 00:37:03 373 6
高中化学,一摩尔该物质Z多能与几摩尔氢气发生加成反应
2014-04-30 00:51:09 907 4
0.5摩尔氢氧化钾吸收多少摩尔二氧化碳恰好生成正盐? 怎么算的
还有正盐是什么?... 还有 正盐是什么? 展开
2014-05-22 09:54:50 371 2

10月突出贡献榜

推荐主页

最新话题