max 数字频率计 顶层文件VHDL仿真编译时出现如下图问题,跪求高手帮帮我
-
译码器 entity decoder3_8 is port(a:in std_logic_vector(2 downto 0); qc:out std_logic_vector(3 downto 0)); end decoder3_8; architecture rtl of decoder3_8 is begin process(a) ... 译码器 entity decoder3_8 is port(a:in std_logic_vector(2 downto 0); qc:out std_logic_vector(3 downto 0)); end decoder3_8; architecture rtl of decoder3_8 is begin process(a) begin case a is when"000"=>qc<="0000"; when"001"=>qc<="0001"; when"010"=>qc<="0010"; when"011"=>qc<="0011"; when others =>qc<="1111"; end case; end process; end rtl; 模块 CH entity ch is port(sel:in std_logic_vector(2 downto 0); a3,a2,a1,a0,dang:in std_logic_vector(3 downto 0); q:out std_logic_vector(3 downto 0)); end ch; architecture ch_arc of ch is begin process(sel) begin case sel is when"000"=>q<=a0; when"001"=>q<=a1; when"010"=>q<=a2; when"011"=>q<=a3; when"111"=>q<=dang; when others=>q<="1111"; end case; end process; end ch_arc; 选择模块 entity sel is port(clk:in std_logic; sl:out std_logic_vector(2 downto 0)); end sel; architecture sel_arc of sel is begin process(clk) variable cnt:std_logic_vector(2 downto 0); begin if clk'event and clk='1' then cnt:=cnt+1; end if; sl<=cnt; end process; end sel_arc; 顶层文件: ENTITY hql IS PORT(clr,sig,clk:IN STD_LOGIC; alm:OUT STD_LOGIC; q:OUT STD_LOGIC_VECTOR(6 DOWNTO 0); qc :OUT STD_LOGIC_VECTOR(3 DOWNTO 0); END hql; ARCHITECTURE sd OF hql IS COMPONENT fen port(clk:in std_logic; q:out std_logic); end COMPONENT; COMPONENT selx port(clk:in std_logic; sl:out std_logic_vector(2 downto 0)); end COMPONENT; COMPONENT corna port(clr,sig,door:in std_logic; alm:out std_logic; q3,q2,q1,q0,dang:out std_logic_vector(3 downto 0)); end COMPONENT; COMPONENT lock port(l:in std_logic; a4,a3,a2,a1,a0:in std_logic_vector(3 downto 0); q4,q3,q2,q1,q0:out std_logic_vector(3 downto 0)); end COMPONENT; COMPONENT ch port(sel:in std_logic_vector(2 downto 0); a3,a2,a1,a0,dang:in std_logic_vector(3 downto 0); q:out std_logic_vector(3 downto 0)); end COMPONENT; COMPONENT disp port(d:in std_logic_vector(3 downto 0); q:out std_logic_vector(6 downto 0)); end COMPONENT; COMPONENT decoder3_8 port(a:in std_logic_vector(2 downto 0); qc:out std_logic_vector(3 downto 0)); end COMPONENT; SIGNAL qq:STD_LOGIC; SIGNAL qq3,qq2,qq1,qq0,qqdang:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL tt4,tt3,tt2,tt1,tt0:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL ww:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL SS:STD_LOGIC_VECTOR(2 DOWNTO 0); BEGIN u1:fen PORT MAP(clk=>clk,q=>qq); u2:selx PORT MAP(clk=>clk,SL=>SS); u3:corna PORT MAP(clr=>clr,sig=>sig,door=>qq,alm=>alm,q3=>qq3,q2=>qq2,q1=>qq1,q0=>qq0,dang=>qqdang); u4:lock PORT MAP(l=>qq,a4=>qq3,a3=>qq3,a2=>qq2,a1=>qq1,a0=>qqdang,q4=>tt4,q3=>tt3,q2=>tt2,q1=>tt1,q0=>tt0); u5:ch PORT MAP(sel=>SS,a3=>tt4,a2=>tt3,a1=>tt2,a0=>tt1,dang=>tt0,q=>ww); u6:disp PORT MAP(d=>ww,q=>q); u7:decoder3_8 PORT MAP(a=>SS,qc=>qc); END sd; 由于超出字数所以把库说明头程序删了 展开
全部评论(1条)
-
- 奥运37 2011-05-12 00:00:00
- ENTITY hql IS PORT(clr,sig,clk:IN STD_LOGIC; alm:OUT STD_LOGIC; q:OUT STD_LOGIC_VECTOR(6 DOWNTO 0); qc :OUT STD_LOGIC_VECTOR(3 DOWNTO 0); <---------差个 ) END hql;
-
赞(12)
回复(0)
热门问答
- max 数字频率计 顶层文件VHDL仿真编译时出现如下图问题,跪求高手帮帮我
- 译码器 entity decoder3_8 is port(a:in std_logic_vector(2 downto 0); qc:out std_logic_vector(3 downto 0)); end decoder3_8; architecture rtl of decoder3_8 is begin process(a) ... 译码器 entity decoder3_8 is port(a:in std_logic_vector(2 downto 0); qc:out std_logic_vector(3 downto 0)); end decoder3_8; architecture rtl of decoder3_8 is begin process(a) begin case a is when"000"=>qc<="0000"; when"001"=>qc<="0001"; when"010"=>qc<="0010"; when"011"=>qc<="0011"; when others =>qc<="1111"; end case; end process; end rtl; 模块 CH entity ch is port(sel:in std_logic_vector(2 downto 0); a3,a2,a1,a0,dang:in std_logic_vector(3 downto 0); q:out std_logic_vector(3 downto 0)); end ch; architecture ch_arc of ch is begin process(sel) begin case sel is when"000"=>q<=a0; when"001"=>q<=a1; when"010"=>q<=a2; when"011"=>q<=a3; when"111"=>q<=dang; when others=>q<="1111"; end case; end process; end ch_arc; 选择模块 entity sel is port(clk:in std_logic; sl:out std_logic_vector(2 downto 0)); end sel; architecture sel_arc of sel is begin process(clk) variable cnt:std_logic_vector(2 downto 0); begin if clk'event and clk='1' then cnt:=cnt+1; end if; sl<=cnt; end process; end sel_arc; 顶层文件: ENTITY hql IS PORT(clr,sig,clk:IN STD_LOGIC; alm:OUT STD_LOGIC; q:OUT STD_LOGIC_VECTOR(6 DOWNTO 0); qc :OUT STD_LOGIC_VECTOR(3 DOWNTO 0); END hql; ARCHITECTURE sd OF hql IS COMPONENT fen port(clk:in std_logic; q:out std_logic); end COMPONENT; COMPONENT selx port(clk:in std_logic; sl:out std_logic_vector(2 downto 0)); end COMPONENT; COMPONENT corna port(clr,sig,door:in std_logic; alm:out std_logic; q3,q2,q1,q0,dang:out std_logic_vector(3 downto 0)); end COMPONENT; COMPONENT lock port(l:in std_logic; a4,a3,a2,a1,a0:in std_logic_vector(3 downto 0); q4,q3,q2,q1,q0:out std_logic_vector(3 downto 0)); end COMPONENT; COMPONENT ch port(sel:in std_logic_vector(2 downto 0); a3,a2,a1,a0,dang:in std_logic_vector(3 downto 0); q:out std_logic_vector(3 downto 0)); end COMPONENT; COMPONENT disp port(d:in std_logic_vector(3 downto 0); q:out std_logic_vector(6 downto 0)); end COMPONENT; COMPONENT decoder3_8 port(a:in std_logic_vector(2 downto 0); qc:out std_logic_vector(3 downto 0)); end COMPONENT; SIGNAL qq:STD_LOGIC; SIGNAL qq3,qq2,qq1,qq0,qqdang:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL tt4,tt3,tt2,tt1,tt0:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL ww:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL SS:STD_LOGIC_VECTOR(2 DOWNTO 0); BEGIN u1:fen PORT MAP(clk=>clk,q=>qq); u2:selx PORT MAP(clk=>clk,SL=>SS); u3:corna PORT MAP(clr=>clr,sig=>sig,door=>qq,alm=>alm,q3=>qq3,q2=>qq2,q1=>qq1,q0=>qq0,dang=>qqdang); u4:lock PORT MAP(l=>qq,a4=>qq3,a3=>qq3,a2=>qq2,a1=>qq1,a0=>qqdang,q4=>tt4,q3=>tt3,q2=>tt2,q1=>tt1,q0=>tt0); u5:ch PORT MAP(sel=>SS,a3=>tt4,a2=>tt3,a1=>tt2,a0=>tt1,dang=>tt0,q=>ww); u6:disp PORT MAP(d=>ww,q=>q); u7:decoder3_8 PORT MAP(a=>SS,qc=>qc); END sd; 由于超出字数所以把库说明头程序删了 展开
- matlab编译M文件时出现没有计算机权限的问题
- 在编译的时候出现如下错误提示:Ifyoudon'thaveAdministratorPrivileges,youcantrytoregisterthecomponentforyouonlywith'/useronly'flagC:\PROGRA~1\MATLAB\R2011A\BIN\MEX.PL:Error... 在编译的时候出现如下错误提示: If you don't have Administrator Privileges, you can try to register the component for you only with '/useronly' flag C:\PROGRA~1\MATLAB\R2011A\BIN\MEX.PL: Error: mwregsvr for C:\Documents and Settings\Administrator\桌面\M文件\mytool\src\mytool_1_0.dll failed. If you are not running MATLAB as Admin, start MATLAB as Admin or if you build with Deploytool, select 'Register the resulting component for you only on the development machine' in Project Settings->Advanced Tab, or specify '-u' switch in mcc command to register the resulting COM component for the current user only. See http://www.mathworks.com/help/toolbox/matlabxl/ug/bsl9h74.html#bst3f2f-1 for more information Error: An error occurred while shelling out to mbuild (error code = 2). Unable to build executable. 从字面上看应该是权限问题吧,但我已经是管理员了,还有就是这种问题是我系统恢复后出现的,之前一直好用,难道我要重装系统了?可悲啊 展开
- 如何用VHDL语言实现8位十进制数字频率计,恳请高手帮忙,求程序啊
- 基于VHDL的数字频率计
- 数字频率计quartus仿真
- 本人是计算机专业学生,需要用quartus进行数字频率计仿真,要求如下: 本实验要求设计并实现简易的数字频率计电路,要求可以实现以下功能: (1) 频率计的频率测量范围:Z低要求0~9999Hz。 (2) 闸门时间为1s,测量结果以十进制数字显示。 (3) 设计一位... 本人是计算机专业学生,需要用quartus进行数字频率计仿真,要求如下: 本实验要求设计并实现简易的数字频率计电路,要求可以实现以下功能: (1) 频率计的频率测量范围:Z低要求0~9999Hz。 (2) 闸门时间为1s,测量结果以十进制数字显示。 (3) 设计一位复位键,对频率计进行清零复位。 (4) 利用实验箱上的四个七段数码管显示频率计结果,要求显示结果稳定,无闪烁。 我对vhdl不是很熟悉,也不太会用quartus 我的Q是1072733412,希望您能给我提供帮助 展开
- 摩尔高手帮帮我。。。
- 目前我的背包还剩下图片中的物品,我该怎么使用它们呢?... 目前我的背包还剩下图片中的物品,我该怎么使用它们呢? 展开
- 紧急求 数字频率计在Multisim中的仿真文件 973196047
- 跪求数字频率计课程设计
- 设计基本要求: (1)能够测试外部输入频率10~2000HZ范围的方波信号 (2)将测试的数据在显示终端上进行显示 (3)可以设定检测频率值,当输入的频率符合设定值可以出现声光提示 (4)要求精度在0.2HZ
- 跪求!PROTEUS仿真的温度控制器!中的PROTEUS仿真文件。
- MCS-51单片机的现场温度采集与控制系统,温度范围-50℃~+100℃。系统能实时显示现场温度数据,并设置温度值,当现场温度超过设置温度±1.5℃时,启动风扇或加热器进行温度调节.现场温... MCS-51单片机的现场温度采集与控制系统,温度范围-50℃~+100℃。系统能实时显示现场温度数据,并设置温度值,当现场温度超过设置温度±1.5℃时,启动风扇或加热器进行温度调节.现场温度和设置温度分别采用3位LED数码管显示(1位小数)。通过三个按键设置温度,分别是功能键、加键、减键。加键与减键每按一次,设置温度值加、减0.5℃。 发314160935 展开
- 关于数字频率计的Multisim仿真文件,可以给我一份吗?QQ+707609774
- 课程设计快结束了!求一个multisim文件啊!仿真不出来!求个文件
- 关于 赛灵思 软件仿真VHDL语言的一个问题
- warn:thedesigncontainsoneormoreregisters/latchesthataredirectlyincompatiblewiththespartan6architecture.thetwoprimarycausesofthisiseitheraregisterorlatchdescribedwithbeth... warn:the design contains one or more registers/latches that are directly incompatible with the spartan6 architecture.the two primary causes of this is either a register or latch described with beth an asynchronous set and asynchronous reset.or a register or catch described with an asynchronous polarity 综合时出现这个警告对结果有影响吗? 展开
- 求用VHDL语言设计一数字频率计
- 1,输入为矩形脉冲,频率范围0-99MHZ, 2,用五位数码管显示,只显示Z后结果,过程无需显示 3,单位为HZ KMZ两档,自动切换
- 关于win8安装数据库软件SQL SEVER2014的问题,为什么老出现这个(如下图)
- 力控软件在工程演示时,实时数据库系统不能进行仿真数据配置 如下图
- 正常情况如图一我的显示如图2在文件菜单下没有数据仿真配置这一项重装还是这个问题求高手... 正常情况如图一 我的显示如图2 在文件菜单下没有数据仿真配置这一项 重装还是这个问题 求高手 展开
- 求数字频率计的单片机课程设计,要有程序,有仿真图,就是DSN文件
- 关于数字频率计的仿真文件Z好proteus的 测量0-999hz 不用单片机
- 参考元器件:74HC160,74HC00/32,CD4511, NE5532/TL082,CD4060 和 32768Hz 晶振给个直接仿真就能过的吧,大神。 直接我q邮: 347397173
- 关于数字频率计的仿真文件Z好proteus的 测量0-2khz 不用单片机
- 要直接的proteus文件和源程序
- VHDL序列信号发生器问题
- 编好的程序如下:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYMY_100110ISPORT(CLK:INSTD_LOGIC;Z:OUTSTD_LOGIC);ENDMY_100110;ARCHITECTURERTLOFMY_100110ISTYPESTATE_TYPEIS(S0,... 编好的程序如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY MY_100110 IS PORT( CLK:INSTD_LOGIC; Z :OUTSTD_LOGIC ); END MY_100110; ARCHITECTURE RTL OF MY_100110 IS TYPE STATE_TYPE IS(S0,S1,S2,S3,S4,S5); SIGNAL CURRENT_STATE,NEXT_STATE:STATE_TYPE; BEGIN SYNCH: PRECESS BEGIN WAIT UNTIL CLK'EVENT AND CLK='1'; CURRENT_STATE<=NEXT_STATE; END PROCESS; STATE_TRANS:PROCESS(CURRENT_STATE) BEGIN CASE CURRENT_STATE IS WHEN S0=> NEXT_STATE<=S1; Z<='1'; WHEN S1=> NEXT_STATE<=S2; Z<='0'; WHEN S2=> NEXT_STATE<=S3; Z<='0'; WHEN S3=> NEXT_STATE<=S4; Z<='1'; WHEN S4=> NEXT_STATE<=S5; Z<='1'; WHEN S5=> NEXT_STATE<=S0; Z<='0'; END CASE; END PROCESS; END RTL; 用quartusⅡ运行有5个错误,限于水平有限,求大神帮助修改! 展开
- 基于vhdl语言的8位数字频率计的设计
- 论文要求:测量从1Hz到9999的信号频率,并将被测信 的频率在数码管上显示出来,采用文本和图形混合设计的方法! 请高人指点一下!我实在是不懂,Z好能给我发一份设计,邮箱是945876736@qq.com!万分感谢!!!!
- 跪求有机化学高手指点
- Z近做题看到用二烷基铜锂与α——β不饱和醛进行1,4—共轭加成。然而课本上面并没有提到此反应。现求高人指点二烷基铜锂的化学性质及其在有机合成中的应用,以及反应机理。Z好有详细... Z近做题看到用二烷基铜锂与α——β不饱和醛进行1,4—共轭加成。然而课本上面并没有提到此反应。现求高人指点二烷基铜锂的化学性质及其在有机合成中的应用,以及反应机理。 Z好有详细一点的发到我的邮箱: daniellee92@qq.com 这不是中学生的问题,就是大学有机化学问题。 展开
参与评论
登录后参与评论