数字频率计quartus仿真
-
本人是计算机专业学生,需要用quartus进行数字频率计仿真,要求如下: 本实验要求设计并实现简易的数字频率计电路,要求可以实现以下功能: (1) 频率计的频率测量范围:Z低要求0~9999Hz。 (2) 闸门时间为1s,测量结果以十进制数字显示。 (3) 设计一位... 本人是计算机专业学生,需要用quartus进行数字频率计仿真,要求如下: 本实验要求设计并实现简易的数字频率计电路,要求可以实现以下功能: (1) 频率计的频率测量范围:Z低要求0~9999Hz。 (2) 闸门时间为1s,测量结果以十进制数字显示。 (3) 设计一位复位键,对频率计进行清零复位。 (4) 利用实验箱上的四个七段数码管显示频率计结果,要求显示结果稳定,无闪烁。 我对vhdl不是很熟悉,也不太会用quartus 我的Q是1072733412,希望您能给我提供帮助 展开
全部评论(0条)
热门问答
- 数字频率计quartus仿真
- 本人是计算机专业学生,需要用quartus进行数字频率计仿真,要求如下: 本实验要求设计并实现简易的数字频率计电路,要求可以实现以下功能: (1) 频率计的频率测量范围:Z低要求0~9999Hz。 (2) 闸门时间为1s,测量结果以十进制数字显示。 (3) 设计一位... 本人是计算机专业学生,需要用quartus进行数字频率计仿真,要求如下: 本实验要求设计并实现简易的数字频率计电路,要求可以实现以下功能: (1) 频率计的频率测量范围:Z低要求0~9999Hz。 (2) 闸门时间为1s,测量结果以十进制数字显示。 (3) 设计一位复位键,对频率计进行清零复位。 (4) 利用实验箱上的四个七段数码管显示频率计结果,要求显示结果稳定,无闪烁。 我对vhdl不是很熟悉,也不太会用quartus 我的Q是1072733412,希望您能给我提供帮助 展开
2018-11-19 10:50:41
422
0
- 设计一个数字频率计,用quartus 2实现
- 不管用什么,只要能实现就好,具体指标如下 频率测量范围 1hz-100000hz 测量分辨率 1hz 测量通道灵敏度 50mvpp 通道输入阻抗 不小于120K欧 测量误差 正负1 测量显示 6位数码管 如果不能给个完整的方案,请提一些应该注意的地方。。比如50mvpp在哪里体现... 不管用什么,只要能实现就好,具体指标如下 频率测量范围 1hz-100000hz 测量分辨率 1hz 测量通道灵敏度 50mvpp 通道输入阻抗 不小于120K欧 测量误差 正负1 测量显示 6位数码管 如果不能给个完整的方案,请提一些应该注意的地方。。比如50mvpp在哪里体现出来,输入阻抗在哪里体现,还有基本的我知道过程应该是测控信号发生器,计数器,锁存器,译码器,再到led显示模块,具体有什么注意的地方波形应该怎么样请讲一下。。 100分求解 展开
2011-11-17 04:54:48
406
2
- 基于51单片机的数字频率计设计(proteus仿真)
- 要求:实现外部方波,三角波,正弦波,锯齿波等常用波形的频率测量 (硬件设计,软件设计)
2012-06-03 00:12:36
416
3
- 数字频率计课程设计,不用单片机的,可以用mutsim仿真
- 频率计又称为频率计数器,是一种专门对被测信号频率进行测量的电子测量仪器。 当被测信号在特定时间段T内的周期个数为N时,则被测信号的频率f=N/T。 用中小规模数字集成电路和半导体显示器件实现。 频率测量范围:10~100Hz 显示位数: 4位
2015-01-06 19:13:28
373
1
- 基于proteus的数字频率计仿真结果图 6位数码管
2011-05-19 10:54:32
422
2
- 紧急求 数字频率计在Multisim中的仿真文件 973196047
2013-06-26 07:26:04
202
1
- 求51单片机设计数字频率计,附带Proteus仿真和程序
2014-05-13 23:13:37
332
1
- 关于数字频率计的Multisim仿真文件,可以给我一份吗?QQ+707609774
- 课程设计快结束了!求一个multisim文件啊!仿真不出来!求个文件
2018-12-04 03:25:58
277
0
- 求基于ms13.0上的简易数字频率计的仿真图,不用单片机。
2016-05-29 07:27:08
379
1
- 谁能比较一下labview和Quartus
2010-09-27 16:23:18
254
1
- 求数字频率计的单片机课程设计,要有程序,有仿真图,就是DSN文件
2016-07-29 18:27:18
357
1
- 关于数字频率计的仿真文件Z好proteus的 测量0-999hz 不用单片机
- 参考元器件:74HC160,74HC00/32,CD4511, NE5532/TL082,CD4060 和 32768Hz 晶振给个直接仿真就能过的吧,大神。 直接我q邮: 347397173
2015-10-27 16:15:17
322
1
- 关于数字频率计的仿真文件Z好proteus的 测量0-2khz 不用单片机
- 要直接的proteus文件和源程序
2017-06-14 03:29:49
283
1
- 数字频率计
- 设计二 数字频率计 数字频率计是用来测量正弦信号、矩形信号等波形工作频率的仪器,其测量结果直接用十进制数字显示。本题要求采用中、小规模集成芯片设计一个具有下列功能的数字频率测量电路。 一、设计课题 数字频率计。 二、技术指标 1、被测信号... 设计二 数字频率计 数字频率计是用来测量正弦信号、矩形信号等波形工作频率的仪器,其测量结果直接用十进制数字显示。本题要求采用中、小规模集成芯片设计一个具有下列功能的数字频率测量电路。 一、设计课题 数字频率计。 二、技术指标 1、被测信号的频率范围为1Hz-100kHz,分为两个频段,即1-999Hz和1-100kHz,用3位数码管显示测量数据,并用发光二极管表示单位,如绿灯亮表示Hz,红灯亮表示kHz。 2、具有自校和测量两种功能,可用仪器内部的标准脉冲测量精度。 3、具有超量程报警功能,在超出目前量程档的测量范围时,发出灯光和音响信号。 1、 测量误差小于5% 。 2、 信号有效值2V 三、设计要求 1、说明电路的工作原理; 2、主要单元电路和元器件参数计算、选择; 3、 画出总体电路图; 4、 安装调试电路; 6、上交完整的实习报告。 展开
2018-11-29 02:59:22
308
0
- 数字频率计
- 1,用数字电路设计一个简易数字频率计 2,可测正弦波,方波至少两种波形 测量范围1HZ~10KHZ
2016-12-05 04:57:51
548
1
- 简易数字频率计的设计 主要求Multisim的仿真电路,Z好是原件。
- 1、能够测量正弦波、三角波、锯齿波、矩形波等周期性信号的频率; 2、能直接用十进制数字显示测得的频率; 3、频率测量范围:1HZ—10KHZ且量程能自动切换; 4、输入信号幅度范围为0.5—5V,要求仪器自动适应 5、测量时间:t≼1.5s 7、按照以上技术要... 1、能够测量正弦波、三角波、锯齿波、矩形波等周期性信号的频率; 2、能直接用十进制数字显示测得的频率; 3、频率测量范围:1HZ—10KHZ且量程能自动切换; 4、输入信号幅度范围为0.5—5V,要求仪器自动适应 5、测量时间:t≼1.5s 7、按照以上技术要求设计电路,绘制电路图,对设计的电路用Multisim或OrCAD/PspiceAD9.2进行仿真,用万用板焊接元器件,制作电路,完成调试、测试,撰写设计报告。 8、用74LS48D,共阴极七段数码管,74LS273N,74LS90D,SN74123N,555时基电路构成仿真 展开
2018-11-25 23:50:33
363
0
- Quartus的嵌入式逻辑分析仪的作用是什么?
2015-06-17 15:29:51
421
1
- max 数字频率计 顶层文件VHDL仿真编译时出现如下图问题,跪求高手帮帮我
- 译码器 entity decoder3_8 is port(a:in std_logic_vector(2 downto 0); qc:out std_logic_vector(3 downto 0)); end decoder3_8; architecture rtl of decoder3_8 is begin process(a) ... 译码器 entity decoder3_8 is port(a:in std_logic_vector(2 downto 0); qc:out std_logic_vector(3 downto 0)); end decoder3_8; architecture rtl of decoder3_8 is begin process(a) begin case a is when"000"=>qc<="0000"; when"001"=>qc<="0001"; when"010"=>qc<="0010"; when"011"=>qc<="0011"; when others =>qc<="1111"; end case; end process; end rtl; 模块 CH entity ch is port(sel:in std_logic_vector(2 downto 0); a3,a2,a1,a0,dang:in std_logic_vector(3 downto 0); q:out std_logic_vector(3 downto 0)); end ch; architecture ch_arc of ch is begin process(sel) begin case sel is when"000"=>q<=a0; when"001"=>q<=a1; when"010"=>q<=a2; when"011"=>q<=a3; when"111"=>q<=dang; when others=>q<="1111"; end case; end process; end ch_arc; 选择模块 entity sel is port(clk:in std_logic; sl:out std_logic_vector(2 downto 0)); end sel; architecture sel_arc of sel is begin process(clk) variable cnt:std_logic_vector(2 downto 0); begin if clk'event and clk='1' then cnt:=cnt+1; end if; sl<=cnt; end process; end sel_arc; 顶层文件: ENTITY hql IS PORT(clr,sig,clk:IN STD_LOGIC; alm:OUT STD_LOGIC; q:OUT STD_LOGIC_VECTOR(6 DOWNTO 0); qc :OUT STD_LOGIC_VECTOR(3 DOWNTO 0); END hql; ARCHITECTURE sd OF hql IS COMPONENT fen port(clk:in std_logic; q:out std_logic); end COMPONENT; COMPONENT selx port(clk:in std_logic; sl:out std_logic_vector(2 downto 0)); end COMPONENT; COMPONENT corna port(clr,sig,door:in std_logic; alm:out std_logic; q3,q2,q1,q0,dang:out std_logic_vector(3 downto 0)); end COMPONENT; COMPONENT lock port(l:in std_logic; a4,a3,a2,a1,a0:in std_logic_vector(3 downto 0); q4,q3,q2,q1,q0:out std_logic_vector(3 downto 0)); end COMPONENT; COMPONENT ch port(sel:in std_logic_vector(2 downto 0); a3,a2,a1,a0,dang:in std_logic_vector(3 downto 0); q:out std_logic_vector(3 downto 0)); end COMPONENT; COMPONENT disp port(d:in std_logic_vector(3 downto 0); q:out std_logic_vector(6 downto 0)); end COMPONENT; COMPONENT decoder3_8 port(a:in std_logic_vector(2 downto 0); qc:out std_logic_vector(3 downto 0)); end COMPONENT; SIGNAL qq:STD_LOGIC; SIGNAL qq3,qq2,qq1,qq0,qqdang:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL tt4,tt3,tt2,tt1,tt0:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL ww:STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL SS:STD_LOGIC_VECTOR(2 DOWNTO 0); BEGIN u1:fen PORT MAP(clk=>clk,q=>qq); u2:selx PORT MAP(clk=>clk,SL=>SS); u3:corna PORT MAP(clr=>clr,sig=>sig,door=>qq,alm=>alm,q3=>qq3,q2=>qq2,q1=>qq1,q0=>qq0,dang=>qqdang); u4:lock PORT MAP(l=>qq,a4=>qq3,a3=>qq3,a2=>qq2,a1=>qq1,a0=>qqdang,q4=>tt4,q3=>tt3,q2=>tt2,q1=>tt1,q0=>tt0); u5:ch PORT MAP(sel=>SS,a3=>tt4,a2=>tt3,a1=>tt2,a0=>tt1,dang=>tt0,q=>ww); u6:disp PORT MAP(d=>ww,q=>q); u7:decoder3_8 PORT MAP(a=>SS,qc=>qc); END sd; 由于超出字数所以把库说明头程序删了 展开
2011-05-11 18:24:02
366
1
- 求用keil和protues做数字频率计的程序和仿真图,DSN格式的
2016-07-29 18:50:16
324
1
- 数字频率计精度
- 时基是如何影响频率计的精度的啊,高精度等精度什么的。。
2018-11-13 00:10:53
353
0
参与评论
登录后参与评论