仪器网(yiqi.com)欢迎您!

| 注册 登录
网站首页-资讯-专题- 微头条-话题-产品- 品牌库-搜索-供应商- 展会-招标-采购- 社区-知识-技术-资料库-方案-直播- 视频

问答社区

紧急求 数字频率计在Multisim中的仿真文件 973196047

闯荡世界南哥 2013-06-26 07:26:04 202  浏览
  •  

参与评论

全部评论(1条)

  • ozhengshun 2013-06-27 00:00:00
    你是要这个吗?shownzhao941@gmail.com

    赞(4)

    回复(0)

    评论

获取验证码
我已经阅读并接受《仪器网服务协议》

热门问答

紧急求 数字频率计在Multisim中的仿真文件 973196047
 
2013-06-26 07:26:04 202 1
关于数字频率计的Multisim仿真文件,可以给我一份吗?QQ+707609774
课程设计快结束了!求一个multisim文件啊!仿真不出来!求个文件
2018-12-04 03:25:58 277 0
请问谁可以给我一份关于 数字频率计的设计的multisim仿真文件为我吗?谢谢
 
2015-01-11 07:12:12 248 1
求数字频率计的单片机课程设计,要有程序,有仿真图,就是DSN文件
 
2016-07-29 18:27:18 357 1
谁能给我发一个multisim的数字频率计文件啊 急急急
要能仿真的啊
2014-12-25 15:57:02 216 1
0—999Hz数字频率计multisim源文件,急求
 
2014-12-19 12:05:00 263 1
如何在 multisim中仿真opt101
 
2016-03-26 09:52:03 325 1
数字频率计quartus仿真
本人是计算机专业学生,需要用quartus进行数字频率计仿真,要求如下: 本实验要求设计并实现简易的数字频率计电路,要求可以实现以下功能: (1) 频率计的频率测量范围:Z低要求0~9999Hz。 (2) 闸门时间为1s,测量结果以十进制数字显示。 (3) 设计一位... 本人是计算机专业学生,需要用quartus进行数字频率计仿真,要求如下: 本实验要求设计并实现简易的数字频率计电路,要求可以实现以下功能: (1) 频率计的频率测量范围:Z低要求0~9999Hz。 (2) 闸门时间为1s,测量结果以十进制数字显示。 (3) 设计一位复位键,对频率计进行清零复位。 (4) 利用实验箱上的四个七段数码管显示频率计结果,要求显示结果稳定,无闪烁。 我对vhdl不是很熟悉,也不太会用quartus 我的Q是1072733412,希望您能给我提供帮助 展开
2018-11-19 10:50:41 422 0
请问能不能给我一份由关于数字频率计的multisim的文件?谢谢
如我可以的话,qq35662566
2018-11-24 23:07:19 384 0
求一个频率计的Multisim仿真原理图,要求如下
1. 测频范围为0~9999Hz,精度为1Hz; 2. 用数码管显示测频结果; 3. 当信号频率≥1MHz时,设有超量程显示。 发挥部分:扩大频率计的测频范围,设计超量程换档。
2018-05-27 06:21:30 464 4
简易数字频率计的设计 主要求Multisim的仿真电路,Z好是原件。
1、能够测量正弦波、三角波、锯齿波、矩形波等周期性信号的频率; 2、能直接用十进制数字显示测得的频率; 3、频率测量范围:1HZ—10KHZ且量程能自动切换; 4、输入信号幅度范围为0.5—5V,要求仪器自动适应 5、测量时间:t≼1.5s 7、按照以上技术要... 1、能够测量正弦波、三角波、锯齿波、矩形波等周期性信号的频率; 2、能直接用十进制数字显示测得的频率; 3、频率测量范围:1HZ—10KHZ且量程能自动切换; 4、输入信号幅度范围为0.5—5V,要求仪器自动适应 5、测量时间:t≼1.5s 7、按照以上技术要求设计电路,绘制电路图,对设计的电路用Multisim或OrCAD/PspiceAD9.2进行仿真,用万用板焊接元器件,制作电路,完成调试、测试,撰写设计报告。 8、用74LS48D,共阴极七段数码管,74LS273N,74LS90D,SN74123N,555时基电路构成仿真 展开
2018-11-25 23:50:33 363 0
关于数字频率计的仿真文件Z好proteus的 测量0-999hz 不用单片机
参考元器件:74HC160,74HC00/32,CD4511, NE5532/TL082,CD4060 和 32768Hz 晶振给个直接仿真就能过的吧,大神。 直接我q邮: 347397173
2015-10-27 16:15:17 322 1
关于数字频率计的仿真文件Z好proteus的 测量0-2khz 不用单片机
要直接的proteus文件和源程序
2017-06-14 03:29:49 283 1
multisim 中的示波器的仿真波形怎样导出
 
2017-09-03 07:30:55 1290 1
求三位频率计整机电路及multisim仿真电路图
有文件的可以直接发文件么?
2018-01-09 17:48:01 374 1
我用Multisim仿真文氏电桥振荡电路,仿真不出来,求帮我看下
2017-12-16 03:25:44 419 1
multisim 8 仿真软件中示波器的A B G T 各接什么?求解释
 
2012-06-19 19:47:12 404 2
关于multisim仿真振荡器的问题
您好,麻烦您帮我看看这个电路图,我在Multisim上仿真就是出不来波形,到底是怎么回事?找了一晚上就是找不到问题所在,麻烦您了... 您好,麻烦您 帮我看看这个电路图,我在Multisim上仿真就是出不来波形,到底是怎么回事?找了一晚上就是找不到问题所在,麻烦您了 展开
2016-05-19 13:01:35 507 2
用multisim做带通滤波器的仿真
要求是:保证300—3400Hz的语音信号不失真的带通滤波器 我按照模电中的带通滤波器进行设计: ①图中的V1可以作为语音信号吗?V1为1v,1khz,这是默认的,我不知如何设置? 上图的仿真图像为 ②图像的Z高点也就2dB,Z低为-36db左右,频率从300hz到300Mhz,... 要求是:保证300—3400Hz的语音信号不失真的带通滤波器 我按照模电中的带通滤波器进行设计: ①图中的V1可以作为语音信号吗?V1为1v,1khz,这是默认的,我不知如何设置? 上图的仿真图像为 ②图像的Z高点也就2dB,Z低为-36db左右,频率从300hz到300Mhz,感觉没有滤波。 希望精通的可以不吝赐教!谢谢! 展开
2015-04-15 23:00:50 469 2
运用multisim进行仿真研究
运用multisim对模电第4板的相关例题和习题进行仿真分析得到满足题目要求的仿真结果,哪位大虾给点提示样本或指导,不甚感激邮箱gmh860916@sina.com我用的是10.0.1版本的... 运用multisim对模电第4板的相关例题和习题进行仿真分析得到满足题目要求的仿真结果,哪位大虾给点提示样本或指导,不甚感激 邮箱gmh860916@sina.com 我用的是10.0.1版本的 展开
2009-04-07 02:49:27 279 1

10月突出贡献榜

推荐主页

最新话题