仪器网(yiqi.com)欢迎您!

| 注册 登录
网站首页-资讯-专题- 微头条-话题-产品- 品牌库-搜索-供应商- 展会-招标-采购- 社区-知识-技术-资料库-方案-直播- 视频

问答社区

用multisim做带通滤波器的仿真

aumanlg44203 2015-04-15 23:00:50 469  浏览
  • 要求是:保证300—3400Hz的语音信号不失真的带通滤波器 我按照模电中的带通滤波器进行设计: ①图中的V1可以作为语音信号吗?V1为1v,1khz,这是默认的,我不知如何设置? 上图的仿真图像为 ②图像的Z高点也就2dB,Z低为-36db左右,频率从300hz到300Mhz,... 要求是:保证300—3400Hz的语音信号不失真的带通滤波器 我按照模电中的带通滤波器进行设计: ①图中的V1可以作为语音信号吗?V1为1v,1khz,这是默认的,我不知如何设置? 上图的仿真图像为 ②图像的Z高点也就2dB,Z低为-36db左右,频率从300hz到300Mhz,感觉没有滤波。 希望精通的可以不吝赐教!谢谢! 展开

参与评论

全部评论(2条)

  • 陪猫去看硫酸雨 2015-04-16 00:00:00
    关注 mark

    赞(13)

    回复(0)

    评论

  • dalong2780 2017-12-16 15:32:47
    1、首先用v1正弦信号肯定不能充当语音,因为这是一个单频信号,当然波特仪测出来的结果跟输入信号是没有任何关系的,即使上图中你去掉v1,波特仪的波形仍然不会变;如果你想改变幅度和频率只要双击或右键属性中可以直接修改 2、2db与-36db相比相比相差了近100倍,怎么会说没有滤波的效果呢!

    赞(9)

    回复(0)

    评论

获取验证码
我已经阅读并接受《仪器网服务协议》

热门问答

用multisim做带通滤波器的仿真
要求是:保证300—3400Hz的语音信号不失真的带通滤波器 我按照模电中的带通滤波器进行设计: ①图中的V1可以作为语音信号吗?V1为1v,1khz,这是默认的,我不知如何设置? 上图的仿真图像为 ②图像的Z高点也就2dB,Z低为-36db左右,频率从300hz到300Mhz,... 要求是:保证300—3400Hz的语音信号不失真的带通滤波器 我按照模电中的带通滤波器进行设计: ①图中的V1可以作为语音信号吗?V1为1v,1khz,这是默认的,我不知如何设置? 上图的仿真图像为 ②图像的Z高点也就2dB,Z低为-36db左右,频率从300hz到300Mhz,感觉没有滤波。 希望精通的可以不吝赐教!谢谢! 展开
2015-04-15 23:00:50 469 2
用multisim做带通滤波器的仿真,如何加信号源、噪声?
ZX频段2k±0.2,带宽0.4k,加个1k和5k的噪声,怎么加?还是直接加个带宽宽的信号?还有用示波器看输出怎么看带宽是多少?菜鸟请详细讲解,越详细越好!谢谢!
2017-09-01 10:54:05 311 1
带通滤波器设计仿真错误
设计的一款带通滤波器,用multisim仿真,低通和高通放一起,单独仿真可以放在一起出现错误,求大神指导,如图
2013-06-27 22:10:02 400 1
555多谐振荡器,用multisim仿真一会出错
预定频率方波可以出来就是过个四五秒钟出现错误... 预定频率方波可以出来 就是过个四五秒钟出现错误 展开
2018-04-08 02:42:54 552 1
如何用电容电感做带通滤波器??
 
2018-12-07 13:56:42 369 0
关于multisim仿真振荡器的问题
您好,麻烦您帮我看看这个电路图,我在Multisim上仿真就是出不来波形,到底是怎么回事?找了一晚上就是找不到问题所在,麻烦您了... 您好,麻烦您 帮我看看这个电路图,我在Multisim上仿真就是出不来波形,到底是怎么回事?找了一晚上就是找不到问题所在,麻烦您了 展开
2016-05-19 13:01:35 505 2
运用multisim进行仿真研究
运用multisim对模电第4板的相关例题和习题进行仿真分析得到满足题目要求的仿真结果,哪位大虾给点提示样本或指导,不甚感激邮箱gmh860916@sina.com我用的是10.0.1版本的... 运用multisim对模电第4板的相关例题和习题进行仿真分析得到满足题目要求的仿真结果,哪位大虾给点提示样本或指导,不甚感激 邮箱gmh860916@sina.com 我用的是10.0.1版本的 展开
2009-04-07 02:49:27 279 1
我用Multisim仿真文氏电桥振荡电路,仿真不出来,求帮我看下
2017-12-16 03:25:44 419 1
如何在 multisim中仿真opt101
 
2016-03-26 09:52:03 325 1
multisim 中的示波器的仿真波形怎样导出
 
2017-09-03 07:30:55 1290 1
用multisim做简易数显频率计
设计一个数字频率计电路,要求能够测量1Hz至100KHz的正弦波,三角波,方波等信号的频率,峰值为0.5V——5V。 2.精度在1Hz以内。 3.数码管显示输入信号的频率。 谢谢大侠了!
2010-12-02 00:20:06 227 1
求一个频率计的Multisim仿真原理图,要求如下
1. 测频范围为0~9999Hz,精度为1Hz; 2. 用数码管显示测频结果; 3. 当信号频率≥1MHz时,设有超量程显示。 发挥部分:扩大频率计的测频范围,设计超量程换档。
2018-05-27 06:21:30 464 4
如图multisim仿真正弦波振荡器为什么没有波形
波形是出来了,但震荡频率很不稳定,在2M-4M之间,请问怎么调整... 波形是出来了,但震荡频率很不稳定,在2M-4M之间,请问怎么调整 展开
2014-12-19 22:27:10 775 3
紧急求 数字频率计在Multisim中的仿真文件 973196047
 
2013-06-26 07:26:04 202 1
数字式频率计 Multisim仿真图修改 满意给50分
 
2012-07-04 00:55:49 308 2
求三位频率计整机电路及multisim仿真电路图
有文件的可以直接发文件么?
2018-01-09 17:48:01 374 1
带通滤波器用什么运放
通带为900hz—1100hz
2015-05-20 02:33:46 283 1
关于数字频率计的Multisim仿真文件,可以给我一份吗?QQ+707609774
课程设计快结束了!求一个multisim文件啊!仿真不出来!求个文件
2018-12-04 03:25:58 277 0
仿真软件Multisim示波器里面怎么调到电压,还有频率
 
2016-12-01 23:43:36 464 1
multisim文氏电桥正弦波振荡电路为什么仿真不出正弦波?
还有那个滑动变阻器接进去有什么用吗?
2018-11-27 03:39:11 298 0

10月突出贡献榜

推荐主页

最新话题